Updated on 2024/03/31

写真a

 
Jun-Seok Oh
 
Organization
Graduate School of Engineering Division of Physics and Electronics Professor
School of Engineering Department of Physics and Electronics
Title
Professor
Affiliation
Institute of Engineering
Profile
Jun-Seok Oh currently working at Graduate School of Engineering, Osaka Metropolitan University, Osaka, Japan. He does research in Experimental Plasma Physics and currently interests in atmospheric pressure plasma applications, 'Plasma Medicine' and 'Plasma Agriculture'.
Affiliation campus
Nakamozu Campus

Position

  • Graduate School of Engineering Division of Physics and Electronics 

    Professor  2022.04 - Now

  • School of Engineering Department of Physics and Electronics 

    Professor  2022.04 - Now

Degree

  • Doctor of Philosophy ( Kyoto University )

Research Areas

  • Energy Engineering / Applied plasma science  / Low-temperature atmospheric pressure plasma

  • Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electric and electronic materials  / Plasma process engineering

Research Interests

  • plasma medicine

  • plasma bio

  • material process

  • Plasma diagnostic

  • non-thermal atmospheric pressure plasma

  • Plasma cancer therapy

  • Regenerative medical plasma

  • Plasma agriculture

Research subject summary

  • Research on various non-thermal atmospheric pressure applications including material process, biology, agriculture, and medicine, based on the plasma diagnostic techniques.

Research Career

  • Clinical application of non thermal atmospheric pressure plasma in bone regeneration

    Joint Research in Organization

    2019.04 - Now 

  • Synthesis of functional composite thin films using a reaction field at a plasma/liquid interface

    Joint Research in Organization

    2019.04 - Now 

  • Investigation of in situ VUV absorption spectroscopy for quantitative analysis of plasma activated water

    Individual

    2017.04 - 2020.03 

  • In-situ analysis of rare metal ions under deep sea by optical emission spectroscopy of discharge plasma operated in high-pressure water

    Joint Research in Organization

    2014.04 - 2016.03 

Professional Memberships

  • The Japan Society of Plasma Science and Nuclear Fusion Research

      Domestic

  • MRS-J

      Domestic

  • Institute of Physics (IOP)

      Overseas

  • The Japan Society of Applied Physics

      Domestic

Committee Memberships (off-campus)

  • 第33回日本MRS年次大会・オーガナイザー   日本MRS  

    2023.04 - 2024.03 

  • ISPlasma2024 / IC-PLANTS2024 Secretariat / APSPT-13 Program Committee   ISPlasma2024 / IC-PLANTS2024 Secretariat / APSPT-13  

    2023.04 - 2024.03 

  • グリーン・DXプラズマコンソーソアム学会 委員   名古屋大学 低温プラズマ科学研究センター  

    2022.08 - 2023.03 

  • Executive Committee   43rd International Symposium on Dry Process  

    2022.04 - 2023.03 

  • 第32回日本MRS年次大会・オーガナイザー   MRS-J  

    2022.04 - 2023.03 

  • ISPlasma2023 / IC-PLANTS2023 Program Committee   ISPlasma2023 / IC-PLANTS2023  

    2022.04 - 2023.03 

  • 応用物理学会プログラム編集委員   応用物理学会  

    2021.04 - 2025.03 

  • 第31回日本MRS年次大会・オーガナイザー   日本MRS  

    2021.04 - 2022.03 

  • プラズマ核融合学会誌編集員   プラズマ核融合学会  

    2020.07 - 2022.06 

  • 第30回日本MRS年次大会 国際シンポジウムK 代表オーガナイザー   日本MRS  

    2020.04 - 2021.03 

  • Program committee   ISPlasma2021 / IC-PLANTS2021 Program Committee ISPlasma2021 / IC-PLANTS2021  

    2020.04 - 2021.03 

  • International Committee   Plasma Cosmetic Consortium  

    2019.05 - Now 

  • 第29回日本MRS年次大会 オーガナイザー   日本MRS  

    2019.04 - 2020.03 

  • 委員   日本応用物理学会プラズマエレクトロニックス分科会委員  

    2018.04 - 2020.03 

▼display all

Awards

  • 第25回プラズマ材料科学賞(奨励賞部門)

    呉準席

    2024.01   プラズマ材料科学賞選考委員会   プラズマ液体相互作用、プラズマ由来ラジカルと生体の相互採用に関する成果および大気圧てノンプラズマ照射の骨再生効果に関する研究

  • Best Presentation Award

    Naoyuki Itawa/Nagoya Univ., Kenji Ishikawa/Nagoya Univ., Hiroshi Hashizume/Nagoya Univ., Hiromasa Tanaka/Nagoya Univ., Jun-Seok Oh/Osaka City Univ., Masafumi Ito/Meijo Univ., Masaru Hori/Nagoya Univ.,

    2021.03   ISPlasma2021 / IC-PLANS2021 Organizing Committee & Program Committee   Dependency of Bactericidal Effect in Oxygen Radical-Exposed E. Coli Suspension Containing L-Tryptophan on its Concentration

     More details

    Country:Japan

  • Most Cited Paper Award

    Jun-Seok Oh, Hiroshi Furuta, Akimitsu Hatta, James W. Bradley

    2019.07   ICPR-10   Investigating the effect of additional gases in an atmospheric-pressure helium plasma jet using ambient mass spectrometry

     More details

    Country:Japan

  • Best Presentation Award

    Naoyuki Iwata, Gamaleev Vladislav, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    2019.03   ISPlasma2019/IC-PLANTS019   Simaltaneous achievement of bactericidal efficacy and plant-growth in neutral pH range using radical-activated benzoic compounds

     More details

    Country:Japan

  • 第28回日本MRS年次大会 奨励賞

    N. Iwata, M. Okabe, V. Gamaleev, J.-S. Oh, H. Hashizume, K. Ishikawa, M. Hori, M. Ito

    2018.12   日本MRS   Novel Sterilization Method with Plant-Growth Promotion in a Neutral pH Region through Oxygen-Radical-Treated Solutions Including Organic Compounds

Job Career (off-campus)

  • Osaka City University   School of Engineering, Graduate School of Engineering   Professor

    2021.04 - 2022.03

  • Osaka City University   School of Engineering, Graduate School of Engineering   Associate professor

    2018.04 - 2021.03

  • Meijo University   Senior researcher

    2016.06 - 2018.03

  • Kochi University of Technology   Assistant professor

    2013.06 - 2016.05

  • University of Liverpool   Research associate

    2009.11 - 2013.05

  • Tohoku University   Researcher

    2008.10 - 2009.09

  • Japan Science ant Technology Agnency   Researcher

    2006.10 - 2008.09

▼display all

Education

  • Kyoto University   The second semester of doctoral program   Graduated/Completed

    2003.10 - 2006.09

  • Kwangwoon University   Electrophysics     Graduated/Completed

    1994.03 - 2001.02

Papers

  • Electrochemically Enhanced Antimicrobial Action of Plasma-Activated Poly(Vinyl Alcohol) Hydrogel Dressings Reviewed International coauthorship

    Sumyea Sabrin, Sung-Ha Hong, Sushil Kumar KC, Jun-Seok Oh, Ainslie L.K. Derrick-Roberts, Debabrata K. Karmokar, Habibullah Habibullah, Robert D. Short, Bhagirath Ghimire, Robert Fitridge, Endre J. Szili

    Wiley・Advanced Functional Materials   2314345   2024.02

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    This paper presents and explains the principle behind anelectrochemical method to enhance the antimicrobial action of plasma-activated hydrogel therapy (PAHT) in the context of wound decontamination. The process involves grounding and hydratingpoly(vinyl alcohol) (PVA) hydrogel films during treatment with a helium (He) plasma jet. This electrochemically enhances production of hydrogen peroxide (H2O2), which is amajor antibacterial agent produced in the PVA hydrogel. Production of H2O2 is shownto be electrically enhanced through electron dissociation reactions, and through reactions associated with excited state species, metastables and ultra-violet (UV) photolysis. H2O2 production is chemically enhanced through the He flow of the plasma jet dehydrating the PVA hydrogel, which fuels the electrochemical dependent reactions associated with H2O2 production. The electrochemical process produces an unprecedented 3.4 mM of H2O2 in the PVA hydrogel. Production of other molecules such as reactive nitrogen species (RNS) are also enhanced by the same method. The electrochemically enhanced PAHT is highly effective ateradicating common wound pathogens Escherichia coli and Pseudomonas aeruginosa and mildly effective against Staphylococcus aureus. Overall, this study shows that the new PAHT dressing offers a promising alternative to antibiotics and silver-based dressings for controlling infection and stimulating healing in wounds.

    DOI: https://doi.org/10.1002/adfm.202314345

  • Evaluations of the optimal plasma treated area in total reflection X-ray fluorescence analysis and the retention period of superhydrophilic ability of the substrate Reviewed

    Tsugufumi Matsuyama, Yudai Tanaka, Naoya Taniguchi, Jun-Seok Oh, Kouichi Tsuji

    The Royal Society of Chemistry・Journal of Analytical Atomic Spectrometry   39   76 - 85   2023.11

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    Total reflection X-ray fluorescence (TXRF) analysis is used to determine the concentrations of trace elements in liquid samples. Solutions are generally added dropwise onto a flat substrate and dried. When liquids with low elemental levels are analyzed, a hydrophobic substrate is employed to prepare a small dome-shaped residue. In the analyses of high-level solutions (high elemental concentration solution), the quantitative accuracy and measurement sensitivity deteriorate because X-ray fluorescence (XRF) is absorbed inside the thick residue. By preparing a thin residue, it is possible to reduce this absorption effect. In our previous study, atmospheric pressure plasma jet (APPJ) treatment was used to prepare a superhydrophilic substrate. The time that super-hydrophilicity is maintained is not yet known and determining this value is important for performing TXRF analysis. Therefore, we measured the contact angles of the droplets and performed X-ray photoelectron spectroscopy of the substrate surfaces to determine the relationships between the experimental values and the elapsed time. The optimal APPJ-treated area has not yet been determined and is one of the most important factors for high-sensitivity analysis. In this study, we controlled the APPJ-treated area by preparing four polytetrafluoroethylene (PTFE) masks with different hole diameters and obtained recoveries, relative standard deviations, and minimum detection limits (MDLs) to identify the optimal APPJ-treated area. A PTFE mask with a diameter of 4 mm generated the best values, particularly for low-Z elements. By controlling the diameter of the dried residue to 4 mm, the MDL value in aluminum was improved by a factor of 1.7.

    DOI: 10.1039/D3JA00168G

  • Understanding the role of plasma bullet currents in heating skin to mitigate risks of thermal damage caused by low-temperature atmospheric-pressure plasma jets Reviewed International coauthorship

    Shunya Hashimoto, Hideo Fukuhara, Endre J. Szili, Chiaki Kawada, Sung-Ha Hong, Yuta Matsumoto, Tatsuru Shirafuji, Masayuki Tsuda, Atsushi Kurabayashi, Mutsuo Furihata, Hiroshi Furuta, Akimitsu Hatta, Keiji Inoue, Jun-Seok Oh

    Plasma   6 ( 1 )   103 - 114   2023.02

     More details

    Authorship:Last author, Corresponding author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    Low-temperature atmospheric-pressure plasma jets are generally considered a safe medical technology with no significant long-term side effects in clinical studies reported to date. However, there are studies emerging that show plasma jets can cause significant side effects in the form of skin burns under certain conditions. Therefore, with a view of developing safer plasma treatment approaches, in this study we have set out to provide new insights into the cause of these skin burns and how to tailor plasma treatments to mitigate these effects. We discovered that joule heating by the plasma bullet currents is responsible for creating skin burns during helium plasma jet treatment of live mice. These burns can be mitigated by treating the mice at a further distance so that the visible plasma plume does not contact the skin. Under these treatment conditions we also show that the plasma jet treatment still retains its medically beneficial property of producing reactive oxygen species in vivo. Therefore, treatment distance is an important parameter for consideration when assessing the safety of medical plasma treatments.

    DOI: https://doi.org/10.3390/plasma6010009

    DOI: https://doi.org/10.3390/plasma6010009

  • Raman spectral analysis of the as-deposited a-C:H films prepared by CH4 + Ar plasma CVD Reviewed

    Shinjiro Ono, Sung Hwa Hwang, Takamasa Okumura, Kunihiro Kamataki, Naoto Yamashita, Naho Itagaki, Kazunori Koga, Masaharu Shiratani, Jun-Seok Oh, Susumu Takabayashi, Tatsuyuki Nakatani

    MRS Advances   2022.07

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    Applicability of precise Raman spectral analysis of a-C:H films deposited using a plasma chemical vapor deposition (CVD) method has been discussed based on the sensitivity to initial conditions in peak separation. The spectral analysis offers to deconvolute the spectra into five peaks, while the as-deposited films prepared by plasma CVD is difficult to the five-peak separation. We found the peak position and the peak height ratio of the D-band to the G+-band can be employed to discuss the structure of the as-deposited films. We examined the structural difference between the films deposited at the powered electrode and that at grounded electrode. We found graphene nanoribbon-like structures may be formed in the films deposited on the grounded substrate. This result suggests that the substrate position is an important factor to form the graphene nanoribbon-like structure.

    DOI: https://doi.org/10.1557/s43580-022-00310-6

  • Oxidative Stress Pathways Linked to Apoptosis Induction by Low-Temperature Plasma Jet Activated Media in Bladder Cancer Cells: An In Vitro and In Vivo Study Reviewed International coauthorship

    Hideo Fukuhara, Endre J. Szili, Jun-Seok Oh, Kawada Chiaki, Shinkuro Yamamoto, Atsushi Kurabayashi, Mutsuo Furihata, Masayuki Tsuda, Hiroshi Furuta, Howard D. Lindsay, Robert D. Short , Akimitsu Hatta, Keiji Inoue

    Plasma   5 ( 2 )   233 - 246   2022.04

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    Current methods used to treat non-muscle invasive bladder cancer are inadequate due to a high recurrence rate after surgery and the occurrence of adverse events such as interstitial pneumonia following intravesical instillation therapy. Low-temperature plasma is a new form of physical therapy that provides a rich source of reactive oxygen species (ROS). Oxidative solutions, created by pre-treatment of aqueous media with plasma before application to target cells, lead to the destruction of cancer cells through oxidative stress pathways. This study focuses on the effects of plasma-activated media (PAM) in bladder cancer cells. PAM treatment increases oxidative stress that leads to cell cycle arrest and concomitantly depolarises the mitochondrial membrane leading to increased mitochondrial ROS production. Cell cycle arrest and increased mitochondrial ROS production led to an increase in caspase 3/cytochrome c activity, which might explain the induction of apoptosis in bladder cancer cells in vitro and in a bladder cancer tumour in vivo. These observations highlight the potential of plasma activated solutions as a new adjuvant therapy in the clinical treatment of bladder cancer.

    DOI: https://doi.org/10.3390/plasma5020018

    DOI: https://doi.org/10.3390/plasma5020018

  • In vivo study on the healing of bone defect treated with non-thermal atmospheric pressure gas discharge plasma Reviewed

    Akiyoshi Shimatani, Hiromitsu Toyoda, Kumi Orita, Yoshihiro Hirakawa, Kodai Aoki, Jun-Seok Oh, Tatsuru Shirafuji, Hiroaki Nakamura

    PLOS ONE   16 ( 10 )   e0255861   2021.10

     More details

    Authorship:Corresponding author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

    Medical treatment using non-thermal atmospheric pressure plasma (NTAPP) is rapidly gaining recognition. NTAPP is thought to be a new therapeutic method because it could generate highly reactive species in an ambient atmosphere which could be exposed to biological targets (e.g., cells and tissues). If plasma-generated reactive species could stimulate bone regeneration, NTAPP can provide a new treatment opportunity in regenerative medicine. Here, we investigated the impact of NTAPP on bone regeneration using a large bone defect in New Zealand White rabbits and a simple atmospheric pressure plasma (helium microplasma jet). We observed the recovery progress of the large bone defects by X-ray imaging over eight weeks after surgery. The X-ray results showed a clear difference in the occupancy of the new bone of the large bone defect among groups with different plasma treatment times, whereas the new bone occupancy was not substantial in the untreated control group. According to the results of micro-computed tomography analysis at eight weeks, the most successful bone regeneration was achieved using a plasma treatment time of 10 min, wherein the new bone volume was 1.51 times larger than that in the plasma untreated control group. Using H&E and Masson trichrome stains, nucleated cells were uniformly observed, and no inclusion was confirmed, respectively, in the groups of plasma treatment. We concluded the critical large bone defect were filled with new bone. Overall, these results suggest that NTAPP is promising for fracture treatment.

    DOI: 10.1371/journal.pone.0255861

  • Total reflection X-ray fluorescence analysis with a glass substrate treated with a He atmospheric pressure plasma jet Reviewed

    Journal of Analytical Atomic Spectrometry   36 ( 9 )   1873 - 1878   2021.06

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.1039/D1JA00164G

  • Identification of key neutral species in atmospheric‐pressure plasma for promoting proliferation of fibroblast cells Reviewed

    Yuki Hori, Naoyuki Iwata, Vladislav Gamaleev, Jun‐Seok Oh, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   ppap.202000225   2021.01

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

  • Time of flight size control of carbon nanoparticles using Ar+CH4 multi-hollow discharge plasma chemical vapor deposition method Reviewed

    Sung Hwa Hwang, Kazunori Koga,Yuan Hao, Pankaj Attri, Takamasa Okumura, Kunihiro Kamataki, Naho Itagaki, Masaharu Shiratani, Jun-Seok Oh, Susumu Takabayashi, Tatsuyuki Nakatani

    Processes   9 ( 1 )   2   2020.12

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

  • Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma Invited Reviewed

    Masafumi Ito, Hiroshi Hashizume, Jun-Seok Oh, Kenji Ishikawa, Takayuki Ohta, Masaru Hori

    Japanese Journal of Applied Physics   60   010503   2020.12

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

  • On cold atmospheric-pressure plasma jet induced DNA damage in cells Reviewed International coauthorship

    Nishtha Gaur, Hirofumi Kurita, Jun-Seok Oh, Saki Miyachika, Masafumi Ito, Akira Mizuno, Allison J Cowin, Sarah Allinson, Robert D Short, Endre J Szili

    Journal of Physics D: Applied Physics   54 ( 3 )   036203   2020.10

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

  • Oxidation processes of NO for production of reactive nitrogen species in plasma activated water Reviewed

    Kunihide Tachibana, Jun-Seok Oh, Toshihiro Nakamura

    IOP Publishing Journal of Physics D: Applied Physics   53 ( 38 )   385202 - 385202   2020.09( ISSN:0022-3727

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab91eb

    Other URL: https://iopscience.iop.org/article/10.1088/1361-6463/ab91eb/pdf

  • Atomic oxygen radical‐induced intracellular oxidization of mould spore cells Reviewed

    Yuta Tanaka, Jun‐Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Masashi Kato, Masaru Hori, Masafumi Ito

    Wiley Plasma Processes and Polymers   2020.06( ISSN:1612-8850

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202000001

    Other URL: https://onlinelibrary.wiley.com/doi/full-xml/10.1002/ppap.202000001

  • FTIR characterization of EDOT treated with atmospheric pressure He plasma Reviewed

    Shirafuji Tatsuru, Hirano Shunta, Oh Jun-Seok

    The Japan Society of Vacuum and Surface Science, Abstract book of Annual Meeting of the Japan Society of Vacuum and Surface Science   2020 ( 0 )   2020

     More details

    Publishing type:Research paper (scientific journal)  

    <p>PEDOT, which is widely used as a conductive polymer, is generally produced by oxidative polymerization of monomer (EDOT) over several hours. We investigated the possibility of EDOT polymerization using atmospheric pressure plasma as a simple and quick production method. In this work, measured infrared spectra of the EDOT before/after the plasma treatments. We discuss possibility of formation of PEDOT by our method through comparison to the IR spectrum of PEDOT given in a literature.</p>

    DOI: 10.14886/jvss.2020.0_28

    CiNii Article

  • Microplasma discharge in deionized water and artificial seawater  Reviewed

    Akimitsu Hatta, Vladislav Gamaleev, Jun-Seok Oh, Hiroshi Furuta

    International Journal of Plasma Environmental Science and Technology   13 ( 1 )   1 - 6   2019.12( ISSN:2435-0125

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Single Work  

    Microplasma discharges in deionized water and artificial seawater were investigated using pin-to-plate electrodes with a gap of a several tens of microns. By using a pulse voltage source, microdischarge was operated in deionized water and diluted artificial seawater and compared with discharge in ambient air. To operate the microdischarge in undiluted artificial seawater, a pulse current source (impulse generator) was used to supply the breakdown voltage with sufficient current. Even in seawater with an electrical conductivity of 45 mS/cm, microplasma discharges were reproducibly ignited at applied voltages below 1 kV. In the optical emission spectrum for the microplasma in artificial seawater, the main components of Na, Mg, Ca, K, S, H, and O were observed as distinguishable isolated lines, including lines for Pd, Ag and Fe from the electrodes.

    DOI: 10.34343/ijpest.2019.13.01.001

  • How membrane lipids influence plasma delivery of reactive oxygen species into cells and subsequent DNA damage: An experimental and computational study Reviewed International coauthorship

    Jonas Van der Paal, Sung-Ha Hong, Maksudbek Yusupov, Nishta Gaur, Jun-Seok Oh, Robert Short, Endre Szili, Annemie Bogaerts

    Physical Chemistry Chemical Physics   21   19327 - 19341   2019.08

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

    DOI: DOI: 10.1039/C9CP03520F

  • Development of an Ambient Air Flow Rotating Arc Jet for Low-Temperature Treatment Reviewed

    V. Gamaleev, N. Iwata, J.-S. Oh, M. Hiramatsu, M. Ito

    IEEE Access   7   93100 - 93107   2019.07

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.1109/ACCESS.2019.2928419

  • Reaction Kinetics of Active Species from an Atmospheric Pressure Plasma Jet Irradiated on the Flowing Water Surface — Effect of Gas-drag by the Sliding Water Surface — Invited

    Tatsuru Shirafuji, Jun-Seok Oh

    Journal of Photopolymer Science and Technology   32 ( 3 )   535 - 540   2019.06

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

  • Investigation on the long-term bactericidal effect and chemical composition of radical-activated water Reviewed

    Naoyuki Iwata, Vladislav Gamaleev, Jun‐Seok Oh, Takayuki Ohta, Masaru Hori, Masafumi Ito

    Plasma Processes And Polymers   16   e1900055   2019.06

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

    DOI: 10.1002/ppap.201900055

  • Simultaneous achievement of antimicrobial property and plant growth promotion using plasma‐activated benzoic compound solution Reviewed

    Jun‐Seok Oh, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymer   16 ( 3 )   1900002   2019.05

     More details

    Authorship:Corresponding author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    This study assesses a potential use of the recently developed nonthermal atmospheric pressure plasma technology in hydroponic plant cultivation. Plasma‐activated liquid solutions were investigated, namely, L‐Phenylalanine (L‐Phe), which had a benzene ring structure. We found that the benzene ring structure in solution contributed to antimicrobial property. Further, colony forming unit (CFU) assay indicated that 99% of Escherichia coli (E. coli) were eliminated after 24‐h incubation and radish sprout growth increased by about 40% after 2 days of cultivation.

  • Tailoring the Chemistry of Plasma-Activated Water Using a DC-Pulse-Driven Non-Thermal Atmospheric-Pressure Helium Plasma Jet Invited Reviewed International coauthorship

    Jun-Seok Oh, Endre J. Szili, Akimitsu Hatta, Masafumi Ito, Tatsuru Shirafuji

    Plasma   2   127 - 137   2019.04

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: https://doi.org/10.3390/plasma2020010

  • Plasma Polymerisation Using Helium Atmospheric-Pressure Plasma Jet with Heptylamine Monomer Reviewed International coauthorship

    K. G. Doherty, J.-S. Oh, P. Unsworth, C. M. Sheridan, P. Weightman, J. W. Bradley, R. L. Williams

    Plasma Processes and Polymers   2019.01

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

    DOI: 10.1002/ppap.201800185

  • Effects of sheath gas flow on He atmospheric pressure plasma jet Reviewed

    Kotaro Ogawa, Hideki Yajima, Jun-Seok Oh, Hiroshi Furuta, Akimitsu Hatta

    Applied Physics Express   2019.01

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

  • プラズマアイズ:(第13回JSAPフォト&イラストコンテスト応募作品) Reviewed

    濱本 ゆうき, 東 しおり, 大城 まさと, 呉 準席, 白藤 立

    公益社団法人 応用物理学会 応用物理   88 ( 8 )   507 - 507   2019( ISSN:0369-8009

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.11470/oubutsu.88.8_507

    CiNii Article

  • Modulating the concentrations of reactive oxygen and nitrogen species and oxygen in water with helium and argon gas and plasma jets Reviewed International coauthorship

    Kotaro Ogawa, Jun-Seok Oh, Nishtha Gaur, Sung-Ha Hong, Hirofumi Kurita, Akira Mizuno, Akimitsu Hatta, Robert D. Short, Masafumi Ito, Endre J. Szili

    Japanese Journal of Applied Physics   58 ( SA )   SAAB01-1 - SAAB01-9   2018.11

     More details

    Authorship:Corresponding author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

    We employed UV–vis spectroscopy to monitor real-time changes in the oxygen tension and concentration of reactive oxygen and nitrogen species (RONS) in deionized (DI) water during treatments with helium (He) and argon (Ar) gas plasma jets. He and Ar gas jets are both shown to deoxygenate DI water with He being more efficient than Ar, whilst the plasma jets deliver and regulate the concentrations of hydrogen peroxide (H2O2), nitrite (NO2−) and nitrate (NO3−) in DI water. The H2O2 and NO3− production efficiency varied between He and Ar plasma jets, but was similar for NO2−. Whilst DI water fully equilibrated with ambient air prior to treatment (de-oxygenated by both plasma jets) when DI water was first de-oxygenated by an inert gas jet treatment, both plasma jets were found to be capable of oxygenating DI water. These insights were then used to show how different combinations of plasma jet and inert gas jet treatments can be used to modulate O2 tension and RONS chemistry. Finally, potential further improvements to improve control in the use of plasma jets in regulating O2 and RONS are discussed.

    DOI: https://doi.org/10.7567/1347-4065/aaea6b

  • 低温大気圧プラズマによって生成された活性酸素窒素種の体内移送 Reviewed

    呉準席, 呉準席, 呉準席, 福原秀雄, 川田千明, 津田雅之, スズリ アンドレ, ショート ロバート, ショート ロバート, 伊藤昌文, 八田章光, 井上啓史

    日本レーザー医学会誌   39 ( 3 )   271   2018.09( ISSN:0288-6200

     More details

    Publishing type:Research paper (scientific journal)  

    J-GLOBAL

  • UV Absorption Spectroscopy Analysis on Water Irradiated to Atmospheric Pressure Plasma Jet Reviewed International coauthorship

    Akimitsu Hatta, Kotaro Ogawa, Jun Seok Oh, Hiroshi Furuta

    iEECON 2018 - 6th International Electrical Engineering Congress   2018.07

     More details

    Publishing type:Research paper (scientific journal)   International / domestic magazine:International journal  

    © 2018 IEEE. Chemical components induced in water by irradiation to atmospheric pressure He plasma jet in ambient air has been investigated using UV absorption spectroscopy. There appears a characteristic absorbance peak in UV range for deionized water after irradiation to plasma jet. The UV absorbance peak can be well deconvoluted to 4 characteristic components assigned as O2, NO2-, NO3-, and H2O2, and from the analysis, the absolute concentration can be determined.

    DOI: 10.1109/IEECON.2018.8712335

    DOI: 10.1109/IEECON.2018.8712335

  • Modelling the helium plasma jet delivery of reactive species into a 3D cancer tumour Reviewed International coauthorship

    Endre J Szili, Jun-Seok Oh, Hideo Fukuhara, Rishabh Bhatia, Nishtha Gaur, Cuong K Nguyen, Sung-Ha Hong, Satsuki Ito, Kotaro Ogawa, Chiaki Kawada, Taro Shuin, Masayuki Tsuda, Mutsuo Furihata, Atsushi Kurabayashi, Hiroshi Furuta, Masafumi Ito, Keiji Inoue, Akimitsu Hatta, Robert D Short

    Plasma Sources Science and Technology   27   014001   2017.12

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

  • Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy Reviewed International coauthorship

    Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilén, Masaru Hori

    Journal of Applied Physics   122   213301   2017.12

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.1063/1.4999256

  • Oxygen-radical pretreatment promotes cellulose degradation by cellulolytic enzymes Reviewed

    Kiyota Sakai, Saki Kojiya, Junya Kamijo, Yuta Tanaka, Kenta Tanaka, Masahiro Maebayashi, Jun-Seok Oh, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, Masashi Kato

    Biotechnology for Biofuels   10   2017.12

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.1186/s13068-017-0979-6

  • UV–vis spectroscopy study of plasma-activated water: Dependence of the chemical composition on plasma exposure time and treatment distance Reviewed International coauthorship

    Jun-Seok Oh, Endre J. Szili, Kotaro Ogawa, Robert D. Short, Masafumi Ito, Hiroshi Furuta, Akimitsu Hatta

    Japanese Journal of Applied Physics   57 ( 1 )   0102B9   2017.11

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:Domestic journal  

    DOI: 10.7567/JJAP.57.0102B9

  • Current status and future prospects of agricultural applications using atmospheric-pressure plasma technologies Invited Reviewed International coauthorship

    Masafumi Ito, Jun-Seok Oh, Takayuki Ohta, Masaharu Shiratani, Masaru Hori

    Plasma Processes and Polymers   15   e1700073   2017.10

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

    DOI: 10.1002/ppap.201700073

  • Tracking the penetration of plasma reactive species in tissue models Reviewed International coauthorship

    Endre J. Szili, Sung-Ha Hong, Jun-Seok Oh, Nishtha Gaur, Robert D. Short

    Trends in Biotechnology   36 ( 6 )   594 - 602   2017.08

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.1016/j.tibtech.2017.07.012

  • Mass spectrometry analysis of the real-time transport of plasma-generated ionic species through an agarose tissue model target Reviewed International coauthorship

    Jun-Seok Oh, Endre J. Szili, Sung-Ha Hong, Nishtha Gaur, Takayuki Ohta, Mineo Hiramatsu, Akimitsu Hatta, Robert D. Short, Masafumi Ito

    Journal of Photopolymer Science and Technology   30 ( 3 )   317 - 323   2017.06

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:Domestic journal  

  • The assessment of cold atmospheric plasma treatment of DNA in synthetic models of tissue fluid, tissue and cells Reviewed International coauthorship

    Endre J Szili, Nishtha Gaur, Sung-Ha Hong, Hirofumi Kurita, Jun-Seok Oh, Masafumi Ito, Akira Mizuno, Akimitsu Hatta, Allison J Cowin, David B Graves, Robert D Short

    Journal of Physics D: Applied Physics   50   274001   2017.06

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.1088/1361-6463/aa7501

  • A new approach to surface activation of porous nanomaterials using non-thermal helium atmospheric pressure plasma jet treatment Reviewed

    Farkfun Duriyasart, Masataka Ohtani, Jun-Seok Oh, Akimitsu Hatta, Kazuya Kobiro

    Chemical Communications   53   6704 - 6707   2017.05

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.1039/c7cc02927f

  • Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals Reviewed

    Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hahizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    Journal of Physics D: Applied Physics   50   155208   2017.03

     More details

    Authorship:Corresponding author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.1088/1361-6463/aa61d7

  • Plasma cell treatment device Plasma-on-Chip: Monitoring plasma-generated reactive species in microwells Reviewed

    Jun-Seok Oh, Shinya Kojima, Minoru Sasaki, Akimitsu Hatta, Shinya Kumagai

    Scientific Reports   7   41953   2017.02

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.1038/srep41953

  • Microplasma array patterning of reactive oxygen and nitrogen species onto polystyrene Reviewed International coauthorship

    Endre J. Szili, James Dedrick, Jun-Seok Oh, James W. Bradley, Roderick W. Boswell, Christine Charles, Robert D. Short, Sameer A. Al-Bataineh

    Frontiers in Physics   2017.02

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    DOI: 10.3389/fphy.2017.00001

  • Mass Spectrometry Analysis of the Real-Time Transport of Plasma-Generated Ionic Species Through an Agarose Tissue Model Target Reviewed

    Jun-Seok Oh, Endre J. Szili, Sung-Ha Hong, Nishtha Gaur, Takayuki Ohta, Mineo Hiramatsu, Akimitsu Hatta, Robert D. Short, Masafumi Ito

    TECHNICAL ASSOC PHOTOPOLYMERS,JAPAN JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY   30 ( 3 )   317 - 323   2017( ISSN:0914-9244

     More details

    Publishing type:Research paper (scientific journal)  

    With ambient mass spectrometry, we followed the transport of neutral gas species and ionic species through a 3.2 mm thick agarose tissue model target during He non-thermal atmospheric pressure plasma (NT-APP) jet treatment. We found that the neutral gas species are unable to efficiently penetrate the agarose target. But both positively and negatively charged ionic species readily penetrate through the agarose target, following an initial time-lag period of several minutes. Interestingly, we also found that the ionic species are easily hydrated. The trends in the He NT-APP jet transport of ionic species observed in this study correlate well with the He NT-APP jet transport of reactive oxygen and nitrogen species (RONS) through agarose tissue model targets that was investigated in previous studies. Therefore, mass spectrometry might prove to be a useful tool in the future for analyzing the dosages of NT-APP-generated RONS in real biological tissues.

    DOI: 10.2494/photopolymer.30.317

  • How plasma induced oxidation, oxygenation, and de-oxygenation influences viability of skin cells Reviewed

    Jun-Seok Oh, Xanthe Strudwick, Robert D. Short, Kotaro Ogawa, Akimitsu Hatta, Hiroshi Furuta, Nishtha Gaur, Sung-Ha Hong, Allison J. Cowin, Hideo Fukuhara, Keiji Inoue, Masafumi Ito, Christine Charles, Roderick W. Boswell, James W. Bradley, David B. Graves, Endre J. Szili

    AMER INST PHYSICS APPLIED PHYSICS LETTERS   109 ( 20 )   203701   2016.11( ISSN:0003-6951

     More details

    Publishing type:Research paper (scientific journal)  

    The effect of oxidation, oxygenation, and de-oxygenation arising from He gas jet and He plasma jet treatments on the viability of skin cells cultured in vitro has been investigated. He gas jet treatment de-oxygenated cell culture medium in a process referred to as "sparging." He plasma jet treatments oxidized, as well as oxygenated or de-oxygenated cell culture medium depending on the dissolved oxygen concentration at the time of treatment. He gas and plasma jets were shown to have beneficial or deleterious effects on skin cells depending on the concentration of dissolved oxygen and other oxidative molecules at the time of treatment. Different combinations of treatments with He gas and plasma jets can be used to modulate the concentrations of dissolved oxygen and other oxidative molecules to influence cell viability. This study highlights the importance of a priori knowledge of the concentration of dissolved oxygen at the time of plasma jet treatment, given the potential for significant impact on the biological or medical outcome. Monitoring and controlling the dynamic changes in dissolved oxygen is essential in order to develop effective strategies for the use of cold atmospheric plasma jets in biology and medicine. Published by AIP Publishing.

    DOI: 10.1063/1.4967880

  • Metering the plasma dosage into physiological environment Reviewed

    J.-S. Oh, E. J. Szili, N. Gaur, S.-H. Hong, H. Furuta, H. Kurita, A. Mizuno, A. Hatta, R. D. Short

    Europhysicsnews   47   12   2016.11

     More details

    Publishing type:Research paper (scientific journal)  

  • How to assess the plasma delivery of RONS into tissue fluid and tissue Reviewed

    Jun-Seok Oh, Endre J. Szili, Nishtha Gaur, Sung-Ha Hong, Hiroshi Furuta, Hirofumi Kurita, Akira Mizuno, Akimitsu Hatta, Robert D. Short

    IOP PUBLISHING LTD Journal of Physics D: Applied Physics   49 ( 30 )   304005   2016.08( ISSN:0022-3727

     More details

    Publishing type:Research paper (scientific journal)  

    The efficacy of helium (He) and argon (Ar) plasma jets are being investigated for different healthcare applications including wound and cancer therapy, sterilisation and surface disinfections. Current research points to a potential link between the generation of reactive oxygen and nitrogen species (RONS) and outcomes in a range of biological and medical applications. As new data accrue, further strengthening this link, it becomes important to understand the controlled delivery of RONS into solutions, tissue fluids and tissues. This paper investigates the use of He and Ar plasma jets to deliver three RONS (hydrogen peroxide-H2O2, nitrite-NO2- and nitrate-NO3- and molecular oxygen (O-2) directly into deionised (DI) water, or indirectly into DI water through an agarose target. The DI water is used in place of tissue fluid and the agarose target serves as a surrogate of tissue. Direct plasma jet treatments deliver more RONS and O-2 than the through-agarose treatments for equivalent treatments times. The former only deliver RONS whilst the plasma jets are ignited; the latter continues to deliver RONS into the DI water long after the plasmas are extinguished. The He plasma jet is more effective at delivering H2O2 and NO2- directly into DI water, but the Ar plasma jet is more effective at nitrating the DI water in both direct and through-agarose treatments. DI water directly treated with the plasma jets is deoxygenated, with the He plasma jet purging more O-2 than the Ar plasma jet. This effect is known as 'sparging'. In contrast, for through-agarose treatments both jets oxygenated the DI water. These results indicate that in the context of direct and indirect plasma jet treatments of real tissue fluids and tissue, the choice of process gas (He or Ar) could have a profound effect on the concentrations of RONS and O-2. Irrespective of operating gas, sparging of tissue fluid (in an open wound) for long prolonged periods during direct plasma jet treatment, could have implications for healthy tissue function; whilst through-tissue plasma jet treatment may provide a method to reperfuse oxygen-starved tissue. The assays described in this paper can be readily adopted (by others) and may support the future development of plasma sources to deliver specific (metered) doses of RONS.

    DOI: 10.1088/0022-3727/49/30/304005

  • Effect of plasma jet diameter on the efficiency of reactive oxygen and nitrogen species generation in water Reviewed

    J.-S. Oh, M. Kakuta, H. Furuta, H. Akatsuka, A. Hatta

    Japanese Journal of Applied Physics   55 ( 6S2 )   06HD01   2016.05

     More details

    Publishing type:Research paper (scientific journal)  

  • Investigation of microplasma discharge in sea water for optical emission spectroscopy Reviewed

    Vladislav Gamaleev, Yo Okamura, Kensuke Kitamura, Yusuke Hashimoto, Jun Seok Oh, Hiroshi Furuta, Akimitsu Hatta

    Japanese Journal of Applied Physics   55 ( 7S2 )   07LC03   2016( ISSN:0021-4922

     More details

    Publishing type:Research paper (scientific journal)  

    © 2016 The Japan Society of Applied Physics. Microplasma discharge in sea water for optical emission spectroscopy was investigated using a needle-to-plane electrode system. The electrodes of a Pd needle and a Pt plate were placed with a gap of 25 μm in typical artificial sea water or locally sampled natural deep sea water. A pulse current source, consisting of a MOSFET switch, a capacitor, an inductor and the resistance of the sea water between the electrodes, was used. The circuit parameters were optimized to decrease the breakdown voltage and the spark duration to suppress erosion of the electrodes. Using a microgap configuration, spark discharges were reproducibly ignited in the highly conductive sea water at low breakdown voltages. The ignition of spark discharges required not only a critical voltage sufficient for breakdown, but also a critical energy for preheating of the sea water, sufficient for bubble formation. The possibility of using optical emission spectroscopy of microplasma in water is shown for identifying elemental composition of sea water.

    DOI: 10.7567/JJAP.55.07LC03

  • In-situ UV Absorption Spectroscopy for Observing Dissolved Ozone in Water Reviewed

    Jun-Seok Oh, Hideki Yajima, Keiya Hashida, Tsunehisa Ono, Tatsuo Ishijima, Izumi Serizawa, Hiroshi Furuta, Akimitsu Hatta

    TECHNICAL ASSOC PHOTOPOLYMERS,JAPAN Journal of Photopolymer Science and Technology   29 ( 3 )   427 - 432   2016( ISSN:0914-9244

     More details

    Publishing type:Research paper (scientific journal)  

    Ozonated water was investigated using a conventional UV-Vis spectrophotometer. We compared the UV absorption spectra of ozone (O-3) in water generated by either O-2 or air plasma bubbling method. The results noted that the observing the entire absorption spectrum is important for understanding the composition of ozonated water. Using an in-situ with an O-2 plasma bubbling in water we monitored the time-resolved UV absorption spectrum of the ozonated water. It is well known that a typical UV absorption spectrum of ozonated water contains a broad absorption peak at 260 nm and a shoulder below 220 nm. In our time-resolved measurement, however, it initially contained a broad absorption at short wavelength range below 210 nm considerably from dissolved O-2. As increase of the ozonation time, it noted that the absorption spectrum indicated the presence of high concentration of H2O2 and O-2 as well as relatively lower concentration of O-3.

    DOI: 10.2494/photopolymer.29.427

  • Diamond-like carbon films from CO source gas by RF plasma CVD method Reviewed

    Y. Yasuoka, T. Harigai, J.-S. Oh, H. Furuta, A. Hatta, T. Suzuki, H. Saitoh

    Japanese Journal of Applied Physics   54   01AD04   2015

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AD04

  • Combined effect of protein and oxygen on RONS in the plasma treatment of tissue Reviewed

    N. Gaur, E. J. Szili, J.-S. Oh, S.-H. Hong, A. Michelmore, D. B. Graves, A. Hatta, R. D. Short

    Applied Physics Letters   107 ( 10 )   103703   2015

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4930874

  • Localized DLC etching by a non-thermal atmospheric-pressure helium plasma jet in ambient air Reviewed

    Jun-Seok Oh, Yoshiaki Kakuta, Yuki Yasuoka, Hiroshi Furuta, Akimitsu Hatta

    Diamond & Related Materials   50   91 - 96   2014.09

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    Using a versatile atmospheric-pressure helium plasma jet, diamond-like carbon (DLC) films were etched in ambientair. We observed that the DLC films are etched at a nominal rate of around 60 nm/min in the treated area (230 μm in diameter) during a 20-min exposure. The etching rate increased after the initial 10-min exposure. During this period, the flat DLC surface was structurally modified to produce carbon nanostructures with a density of ~2.4 × 1011 cm−2. With this increase in surface area, the etching rate increased. After 20 min, the DLC film had a circular pattern etched into it downto the substratewhere silicon nanostructureswere observed with sizes varying from 10 nm to 1 μm. The initial carbon nanostructure formation is believed to involve selective removal of the sp2-bonded carbon domains. The carbon etching results from the formation of reactive oxygen species in the plasma.

    DOI: 10.1016/j.diamond.2014.08.009

  • Mass spectrometric diagnosis of an atmospheric pressure helium microplasma jet Reviewed

    K McKay, J-S Oh, J L Walsh, J W Bradley

    Journal of Physics D: Applied Physics   46 ( 46 )   464018   2013.10

     More details

    Publishing type:Research paper (scientific journal)   International / domestic magazine:International journal  

    Ambient molecular beam mass spectrometry (MBMS) has been used to study how different capillary widths (530 µm and 2.4 mm) and excitation waveforms (continuous wave kHz and pulsed dc) affect the ionic composition of atmospheric pressure plasma jets. It is shown from time-averaged ion intensities that reducing the width of the jet capillary results in a significant increase in the variety of both positive and negative ions detected within the discharge. We discuss this in terms of changes in flow velocity and the onset of turbulence within the plasma plume. Changing the mode of excitation had little effect on the ionic species detected from the microplasma jet; however, there was a notable shift in dominance towards higher mass ions when operated in a continuous wave kHz mode. The temporal evolution of the ions within the microplasma jet was observed for both excitation sources, operated at 5 and 15 kHz. Positive ions were created during periods correlated with the positive and negative peaks in discharge current, while negative ions were predominantly created at times when the discharge current peak was negative. This phenomenon was independent of the driving waveform. For pulsed dc excitation, considerably fewer positive ions were created in periods related to the negative current peaks, especially at higher frequencies. We propose a simple explanation for these processes based on ideas of streamer propagation and the influence of self-induced electric fields in the plasma plume.

    DOI: 10.1088/0022-3727/46/46/464018

  • Polystyrene Surface Modification for Localized Cell Culture Using a Capillary Dielectric Barrier Discharge Atmospheric-Pressure Microplasma Jet Reviewed

    Kyle G. Doherty, Jun-Seok Oh, Paul Unsworth, Andrew Bowfield, Carl M. Sheridan, Peter Weightman, James W. Bradley, Rachel L. Williams

    Plasma Processes and Polymers   10 ( 11 )   978 - 989   2013.10

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   International / domestic magazine:International journal  

    This paper reports the spatially resolved surface modification of polystyrene (PS) using an atmospheric-pressure microplasma jet. Treatment of PS surfaces using a microplasma jet with a 100 µm diameter is investigated using contact angle, XPS, AFM and lens epithelial cell (LEC) growth. Microplasma jet treatment creates a defined reduction in contact angle of approximately 60° in a circular pattern with a diameter of 1.5 mm or more. Spatially resolved XPS analysis demonstrates that a reduction in contact angle is related to an increase in O1s peak intensity. AFM confirms that microplasma jet treatment causes no significant change in surface roughness. LECs are confined to a treated area.

    DOI: 10.1002/ppap.201300052

  • Heavy Ion Formation in Plasma Jet Polymerization of Heptylamine at Atmospheric Pressure Reviewed

    Jun-Seok Oh, James W. Bradley

    Plasma Processes and Polymers   10   839 - 842   2013.08

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Single Work  

    Using mass spectrometry we show that ion-molecule reactions in the gas phase produce heavy oligomers of the series [nM+H]+ (n up to 6) within the output plume of a heptylamine polymerizing plasma jet flowing into air and N2 blankets. Optimal operating conditions for high yields of heavy oligomers are found.

    DOI: 10.1002/ppap.201300042

  • Studying the cytolytic activity of gas plasma with self-signalling phospholipid vesicles dispersed within a gelatin matrix Reviewed International coauthorship

    Serena E Marshall, A Toby A Jenkins, Sameer A Al-Bataineh, Robert D Short, Sung-Ha Hong, Naing T Thet, Jun-Seok Oh, James W Bradley, Endre J Szili

    Journal of Physics D: Applied Physics   46   185401   2013.04

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work  

    A synthetic biological sensor was developed to monitor the interaction of plasma with soft, hydrated biological material. It comprises phospholipid vesicles in a hydrated proteinaceous environment comprising 5% (w/v) gelatin. The vesicles contained a self-quenched dye, which was activated by vesicle destruction giving a clear fluorescent switch on. The interaction of bacterial toxins with the sensor was measured in a proof of principle experiment, then the effect of atmospheric plasma jets with the sensor, was studied in order to assess the cytolytic effect of plasma jets in biological systems. When the plasma contacted the gelatin surface perpendicular to the surface, the treatment resulted in the formation of a star-shaped pattern of microchannels that radiated out from the centre of the treatment area within the gelatin matrix, and locally damaged vesicles within the microchannels at a depth of 150 µm below the gelatin surface. Plasma jets applied in parallel to the surface of the matrix resulted in the formation of a single microchannel with damage to the vesicles only evident at the walls of the channel, and a much reduced penetration depth within the gelatin. Our data show that the effects of plasma can be deep in the gelatin material and that the angle of treatment significantly influenced the nature and level of damage to the gelatin and vesicles. Potentially this gelatin model can be used to unravel the roles of different plasma species and the direct effect of whole plasma contact, from those of primary and secondary species—i.e. primary, those emanating directly from the plasma and secondary, those species created in the 'target' tissue. This type of insight could be useful in the future development of safe and effective plasma medical technologies.

    DOI: 10.1088/0022-3727/46/18/185401

  • Plasma bullet current measurements in a free-stream helium capillary jet Reviewed

    Jun-Seok Oh, James L Walsh, James W Bradley

    Plasma Sources Science and Technology   21   034020   2012.05

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   International / domestic magazine:International journal  

    A commercial current monitor has been used to measure the current associated with plasma bullets created in both the positive and negative half cycles of the sinusoidal driving voltage sustaining a plasma jet. The maximum values of the positive bullet current are typically ∼750 µA and persist for 10 µs, while the peaks in the negative current of several hundred μA are broad, persisting for about 40 µs. From the time delay of the current peaks with increasing distance from the jet nozzle, an average bullet propagation speed has been measured; the positive and negative bullets travel at 17.5 km s−1 and 3.9 km s−1 respectively. The net space charge associated with the bullet(s) has also been calculated; the positive and negative bullets contain a similar net charge of the order of 10−9 C measured at all monitor positions, with estimated charged particle densities nb of ∼1010–1011 cm−3 in the bullet.

    DOI: 10.1088/0963-0252/21/3/034020

  • Discharge and Plasma Bullet Formation in a Capillary DBD Atmospheric-Pressure Microplasma Jet Reviewed

    Jun-Seok Oh, Paul M. Bryant, James W. Bradley

    IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC IEEE Transactions on Plasma Science   39 ( 11 )   2352 - 2353   2011.11( ISSN:0093-3813

     More details

    Publishing type:Research paper (scientific journal)  

    Time-resolved ICCD images of discharge and plasma bullet formation in a capillary dielectric barrier discharge at atmospheric pressure have been obtained across the whole period in the voltage cycle. The images clearly show that the capillary discharge ignites at the inner edges of both electrodes, when each electrode is working as a cathode, and this leads to the formation of afterglow plasma bullets traveling upstream and downstream.

    DOI: 10.1109/TPS.2011.2160290

  • Time-resolved mass spectroscopic studies of an atmospheric-pressure helium microplasma jet Reviewed

    Jun-Seok Oh, Yolanda Aranda-Gonzalvo, James W Bradley

    Journal of Physics D: Applied Physics   44 ( 36 )   365202   2011.08

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   International / domestic magazine:International journal  

    Using molecular beam mass spectroscopy, time-resolved measurements of the ionic species in the plasma plume of an atmospheric-pressure helium microplasma jet are made for a range of excitation frequencies (5, 10 and 25 kHz) and source–instrument orifice distances (1, 7 and 11 mm). Ionic species can only be observed in the visible plasma plume, with the main positive species being (65.26%) and (21.11%), and a few percentages of N+, O+, NO+ and He+. For the negative ions, the majority species are O3- (22.68%), O2-(H2O) (10.49%) and a large range of minority species observed, namely On-, OHn-, H2O-, COn- and clusters, Om-(H2O)n, (OH)m-(H2O)n, On-(CO3) and CO3-(H2O)n. The flux of ions created from air species, such as NO+ and O3-, is seen to be maximized at a distance of several millimeters from the nozzle, whereas the He+ concentration continually decreases with distance from the exit orifice. The time-resolved measurements (time resolution down to 2 µs) show that positive ions appear twice in one full period of the voltage waveform, correlated directly with positive and negative current peaks. The rise and fall times of the positive ions are typically tens of us. In contrast, the appearance of negative ions is correlated only with the negative part of discharge current, with one main peak in the detected ionic flux seen per cycle. The rise time of the negative ions is about 10 µs, independent of mass; however, we observed longer decay times, from 100 to 150 µs, increasing with mass. With increased driving frequency, the time modulation in the ionic fluxes is reduced, particularly for the negative species that show almost constant fluxes at 25 kHz throughout the cycle. The observations can be understood through a simple picture of the interaction of the He jet and the moist ambient air. The results indicate that the discrete plasma 'bullets' and their afterglow tail, that forms the jet, carry an associated positive or negative current depending on the time of their creation in the voltage cycle.

    DOI: 10.1088/0022-3727/44/36/365202

  • Discharge and Plasma Bullet Formation in a Capillary DBD Atmospheric-Pressure Microplasma Jet Reviewed

    Jun-Seok Oh, Paul M. Bryant, James W. Bradley

    IEEE Transactions on Plasma Science   39 ( 11 )   2352 - 2353   2011.08

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Single Work   International / domestic magazine:International journal  

    Time-resolved ICCD images of discharge and plasma bullet formation in a capillary dielectric barrier discharge at atmospheric pressure have been obtained across the whole period in the voltage cycle. The images clearly show that the capillary discharge ignites at the inner edges of both electrodes, when each electrode is working as a cathode, and this leads to the formation of afterglow plasma bullets traveling upstream and downstream.

  • Schlieren Photography of the Outflow From a Plasma Jet Reviewed

    James W. Bradley, Jun-Seok Oh, Olumuyiwa T. Olabanji, Craig Hale, Raffaello Mariani, Konstantinos Kontis

    IEEE Transactions on Plasma Science   39 ( 11 )   2312 - 2313   2011.07

     More details

    Authorship:Corresponding author   Publishing type:Research paper (scientific journal)   Kind of work:Single Work   International / domestic magazine:International journal  

    Using Schlieren photography, the helium outflow configuration from a fine capillary-based microplasma jet discharge has been captured for free-stream conditions. The transition
    from laminar to turbulent flow is clearly identified with and without operation of the plasma. At a flow rate of 2.3 L min−1 with no plasma operating, this transition occurs 54 mm from the nozzle; however, with plasma struck (peak voltages of 8 kVp−p), this reduces to 40 mm.

    DOI: 10.1109/TPS.2011.2157940

  • Imaging gas and plasma interactions in the surface-chemical modification of polymers using micro-plasma jets Reviewed International coauthorship

    Jun-Seok Oh, Olumuyiwa T Olabanji, Craig Hale, Raffaello Mariani, Konstantinos Kontis, James W Bradley

    Journal of Physics D: Applied Physics   44 ( 15 )   155026   2011.04

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Joint Work   International / domestic magazine:International journal  

    This paper reports on the correlation between gas flow and plasma behaviour in the outflow of a micro-atmospheric pressure plasma jet operating in helium using both 2D optical imaging and Schlieren photography. Schlieren photography shows that the helium outflow changes from laminar to turbulent conditions after distances between 20 and 50 mm from the nozzle. Above a flow rate of 1.4 slm, the length of the laminar region decreases with increasing flow rate. However, by contrast the visible plasma plume increases in length with increasing flow rate until its extension just exceeds that of the laminar region. At this point, the plasma becomes turbulent and its length decreases. Exposing polystyrene (PS) samples to the plasma jet significantly alters the water contact angle in a defined area, with the hydrophobic PS surface becoming more hydrophilic. This modification occurs both with and without direct contact of the visible glow on the surface. The radius of the treated area is much larger than the width of the visible jet but much smaller than the area of the turbulence on the surface. The treated area reduces with increasing nozzle–substrate distance.

    DOI: 10.1088/0022-3727/44/15/155206

  • Coaxcial Line Type Long Microwave Discharge Lamp Reviewed

    J.-S. Oh, T. Sakai, K. Kawamura, A. Hatta

    IEEJ Transactions on Fundamentals and Materials   131   344   2011

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.1541/ieejfms.131.344

  • Investigation of Water-Vapor Plasma Excited by Microwaves as Ultraviolet Light Source Reviewed

    Jun-Seok Oh, Kazumasa Kawamura, Bimal K. Pramanik, Akimitsu Hatta

    IEEE Transactions on Plasma Science   37 ( 1 )   107 - 112   2009.01

     More details

    Authorship:Lead author   Publishing type:Research paper (scientific journal)   Kind of work:Single Work   International / domestic magazine:International journal  

    The potential of using water-vapor plasmas excited by microwaves as a ultraviolet (UV) light source has been investigated by using various pressures and input powers. The UV irradiation power increased and saturated at a pressure range dependent on the input power. On the other hand, other visible and infrared emissions corresponding to four atomic lines, i.e., the Balmer series of hydrogen at 486.1 nm ( H beta) and 656.3 nm (H alpha) and oxygen atoms at 777.2 and 844.6 nm, were clearly decreased with an increase in the total gas pressure. It was found that pressures (1.4-2.0 kPa) near the saturated water-vapor pressure were found to give the most intense UV irradiation. With a vapor pressure of 1.6 kPa and a total microwave power of 300 W, the power density of UV (Gamma uv ) was measured to be 10.5 uW cm-2 at a distance of 30 cm from the center of the discharge tube as measured through an optical viewing port on the cavity discharge applicator. This value for UV is comparable to that for a mercury lamp. However, the efficiency was estimated to be considerably lower than that of a mercury lamp.

    DOI: 10.1109/TPS.2008.2007732

  • Spatiotemporal behavior of excited Xe(1s"D4R,1s5) and Kr(1s#D5) atoms measured by laser-absorption spectroscopy in unit cell of a plasma display panel with Xe–Kr–Ne ternary gas mixture Reviewed

    Jun-Seok Oh, Kunihide Tachibana

    Journal of Applied Physics   102 ( 7 )   073301   2007.10

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Single Work  

    We have studied the effects of ternary gas mixtures of Xe(10%)–Kr(20% and 40%)–Ne on the luminous efficiency in ac plasma display panels. Spatiotemporal behaviors of near infrared (IR) emission was measured by an intensified charge-coupled device camera equipped with a narrow bandpass filter and absolute densities of excited Xe∗ and Kr∗ atoms in the lowest resonance (1s4) and metastable (1s5) levels were measured by a technique of microscopic laser absorption spectroscopy. We have found interesting features from both emission and absorption experiments, such as longer near-IR emission (0.3–0.4 us) and different temporal behaviors between the excited Kr(1s5) atoms and Xe(1s4,1s5) atoms. We have also found that the order of magnitude of the peak density of excited Kr(1s5) atoms (∼1012 cm−3) was ten times smaller than that of Xe(1s4,1s5) atoms, and that their decay time was remarkably short (∼0.3 us). These results have then been compared with those for a binary gas mixture of Xe(10%)–Ne. At a certain range of the sustain voltage, the production rate of Xe(1s4,1s5) atoms and the luminous efficiency have turned out to be slightly improved for the ternary gas mixtures, compared to the binary gas mixture.

    DOI: https://doi.org/10.1063/1.2786609

  • Influence of sustaining frequency on the production efficiency of excited Xe atoms studied in unit cell microplasma for ACPDPs using spectroscopic diagnostics Reviewed

    Jun-Seok Oh, Osamu Sakai, Kunihide Tachibana

    Journal of The Society for Information Display   15 ( 5 )   297 - 306   2007.05

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Single Work   International / domestic magazine:International journal  

    The effects of the driving frequency of the sustaining-voltage pulses on microplasmas in a cell of an ac plasma-display panel (ACPDP) were investigated using spectroscopic diagnostics [optical emission spectroscopy (OES) and laser-absorption spectroscopy (LAS)]. The unit discharge cell has a structure similar to that of a general commercial ACPDP, but it is prepared for three-dimensional (3-D) observation using a pair of micro-prisms. When the near-IR emission by OES and the absorption signal by LAS were observed in the front and side views simultaneously, it was determined that the discharge was concentrated at the center of the discharge space and quickly responded to an applied electrical potential as the sustaining frequency increases. The production efficiency of excited Xe**(2p) atoms and vacuum-ultraviolet (VUV) photons, which was estimated from the spectroscopy results with the measured power dissipated in the discharges, increased as the frequency of the sustain pulses increases to 100 kHz. At 250 kHz, however, the efficiency remarkably decreased because of an inefficient time for excimer formation and, possibly, for wall-charge formation. From the quantitative analysis of the efficiency, the most-efficient frequency for the sustain voltage was around 100 kHz in the case of Xe(5%)–Ne at 500 Torr, i.e., the efficiency depended on both the priming particles [excited Xe*(1s5) atoms] in space and the charged particles on the dielectrics.

    DOI: https://doi.org/10.1889/1.2739799

  • Production efficiency of excited atoms in PDP cells with grooved dielectric structures studied by laser absorption spectroscopy Reviewed

    Jun-Seok Oh, Kunihide Tachibana, Hidekazu Hatanaka, Young-Mo Kim, Seung-Hyun Son, Sang-Hun Jang

    IEEE Transactions on Plasma Science   34 ( 2 )   376 - 384   2006.04

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Single Work  

    Performances of microplasmas in unit discharge cells with grooved structures in the dielectric layer covering the coplanar electrodes were investigated in alternating current (ac)-type plasma display panels filled with Ne-Xe(10%) mixture at 450 torr. The diagnostics are based on a microscopic laser-absorption spectroscopy technique for the spatiotemporally resolved measurements of absolute densities of Xe*(1s5,1s4) atoms, from which the production rate and the efficiency of the vacuum ultraviolet photons were estimated. These results were compared with previously reported data obtained in conventional phosphor coated panels with the same structures for the dependences on the applied sustain voltages. As the result, the following conclusions were ascertained. The grooved structure does not help to improve the luminous efficiency but it helps to lower the firing and sustaining voltages by about 20 V if the electrode gap is kept constant. Therefore, it provides additional possibilities for the selection of other operating conditions such as the gas composition and pressure for the improvements of the luminance and the luminous efficiency.

    DOI: 10.1109/TPS.2006.872431

  • Production efficiencies of Kr(1s5,1s4) atoms leading to vacuum-ultraviolet emissions in ac plasma display panels with Kr–Ne binary mixtures measured by laser-absorption spectroscopy Reviewed

    Jun-Seok Oh, Kunihide Tachibana, H. Hatanaka, Y.-M. Kim, S.-H. Son, S.-H. Jang

    Journal of Applied Physics   98   103302   2005.11

     More details

    Publishing type:Research paper (scientific journal)   Kind of work:Single Work  

    Ne–Kr gas mixtures with high Kr concentrations were applied to ac plasma display panels. Spatiotemporal behaviors of excited Kr atoms in the 1s5 metastable state and the 1s4 resonance state were measured by microscopic laser-absorption spectroscopy in the binary mixtures with Kr concentrations of 20% and 40%. A systematic comparison was done between the characteristics of Ne–Kr-filled panels and those of conventional Ne–Xe panels with the same structure but with lower Xe concentrations of 5% and 10%. For example, the total number of Kr(1s5) atoms in a unit cell ranged from 7.4×107 to 2.0×108, at the peak was apparently smaller than the value of Xe(1s5) atoms. However, when the difference in the decay rate of the excited atoms by three-body collision processes is taken into account, the production efficiency of vacuum-ultraviolet (VUV) emission from Kr2 excimers is as large as that from Xe2 excimers in a usual panel since these processes lead directly to the formation of excimers. From the measured density of Kr(1s4) atoms, it is estimated that the contribution of the atomic resonance line is smaller than the excimer band at those high Kr concentrations. In any case, by summing up those two contributions to the VUV emission, the overall efficiency in the Ne–Kr(40%) panel is estimated to be comparable to that in the Ne–Xe(10%) one.

    DOI: http://dx.doi.org/10.1063/1.2131194

  • Ion-Induced Secondary Electron Emission Coefficient (γ) from MgO Protective Layer with Microscopic Surface Structures in Alternating Current Plasma Display Panels Reviewed

    Jun-Seok Oh, Eun-Ha Choi

    Japanese Journal of Applied Physics   43 ( 9A )   L1154 - L1155   2004.09

     More details

    Publishing type:Research paper (scientific journal)  

    We have investigated the influence of microscopic surface structures of the MgO protective layer on the ion-induced secondary electron emission coefficient in alternating-current plasma display panels (AC-PDPs). The microscopic surface structures of the MgO protective layer have been formed by using a mesh mask with an electron beam evaporation method. The ion-induced secondary electron emission coefficient γ of the MgO protective layer with mesh-patterned microscopic surface structures has been measured by the γ-FIB (focused ion beam) system. It is found that the MgO protective layer with microscopic surface structures has a higher γ than those without any surface structures.

    DOI: https://doi.org/10.1143/JJAP.43.L1154

  • Work function change on O-plasma treated indium-tin-oxide Reviewed

    G. Y. Kim, J.-S. Oh, E.-H. Choi, G. S. Cho, S. O. Kang, J. Cho

    Materials Science and Engineering. B, Solid-State Materials for Advanced Technology   100 ( 3 )   275 - 279   2003.07( ISSN:0921-5107

     More details

    Publishing type:Research paper (scientific journal)  

    The change in work function was studied on Indium-tin-oxide (ITO) surface after O-plasma treatment using γ-focused ion beam (γ-FIB). As the surface of ITO experienced more O-plasma treatment, both the surface resistivity and the work function got higher. Auger electron spectroscopy identified the increase of oxygen as well as the decrease of Sn. The rise of work function and surface resistivity is considered to be due to the change in oxygen and Sn on the surface of ITO

    DOI: https://doi.org/10.1016/S0921-5107(03)00115-6

  • Work function of MgO single crystals from ion-induced secondary electron emission coefficient Reviewed

    Jae Yong Lim, Jun Soek Oh, Byung Doc Ko, Jae Won Cho, Seung Oun Kang, Guangsup Cho, Han Sup Uhm, Eun Ha Choi

    Journal of Applied Physics   94   764   2003.06

     More details

    Publishing type:Research paper (scientific journal)   International / domestic magazine:International journal  

    The work functions of MgO single crystals with its respective orientation (111), (200), and (220) have been investigated from their ion-induced secondary electron emission coefficient γ, respectively, using various ions with different ionization energies in a γ-focused ion beam system. The work function for MgO single crystal with (111) orientation has the lowest value, 4.22 eV, whereas it is 4.94 eV for (200) and the highest value is 5.07 eV for (220). These work functions of MgO single crystals can explain the nonzero values of the ion-induced secondary electron emission coefficient γ for Xe+ ions, whose ionization energy is 12.13 eV.

    DOI: http://dx.doi.org/10.1063/1.1581376

▼display all

MISC

  • Quantitative Analysis of Chemical Oxygen and Nitrogen Species in Plasma-Activated Water Using UV Absorption Spectroscopy Invited Reviewed International journal

    Jun-Seok Oh, Akimitsu Hatta, Masafumi Ito

    Jounral of the Institute of Electrostatics of Japan   47 ( 6 )   221 - 226   2023.11

     More details

    Authorship:Lead author   Publishing type:Article, review, commentary, editorial, etc. (scientific journal)   International / domestic magazine:Domestic journal  

  • 紫外吸収分光法を用いたプラズマ活性水の成分分析:非線形最小二乗法を用いたRONSの濃度フィッティングプログラム International journal

    橋本 駿哉、呉 準席、白藤 立、八田 章光、伊藤 昌文

    プラズマエレクトロニクス分科会会報   77   13 - 17   2022.12

     More details

    Authorship:Corresponding author   Publishing type:Article, review, commentary, editorial, etc. (other)   International / domestic magazine:Domestic journal  

  • プラズマオンチップ:マイクロデバイスでプラズマが細胞に及ぼす作用の解明に挑む Invited

    熊谷 慎也,小林 未明,呉 準席,友田 紀一郎,清水 鉄司,佐々木 実

    プラズマエレクトロニクス分科会会報   74   15 - 20   2021.06

     More details

    Publishing type:Article, review, commentary, editorial, etc. (other)  

  • Optimization of DBD helium plasma jet in plasma medicine (放電研究会) Reviewed

    呉 準席, 白藤 立, 小川 広太郎, 古田 寛, 八田 章光, 福原 秀雄, 川田 千明, 津田 雅之, 井上 啓史, 伊藤 昌文, スズリ アンドレ

    電気学会 電気学会研究会資料. ED = The papers of technical meeting on electrical discharges, IEE Japan   2018 ( 144 )   29 - 33   2018.12

     More details

    Publishing type:Article, review, commentary, editorial, etc. (scientific journal)  

    CiNii Article

  • 電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断 Reviewed

    石川健治, 近藤隆, 竹田圭吾, 呉準席, 橋爪博司, 田中宏昌, 近藤博基, 太田貴之, 伊藤昌文, 関根誠 堀勝

    プラズマ・核融合学会誌   93 ( 5 )   246-252   2017.05

     More details

    Publishing type:Article, review, commentary, editorial, etc. (scientific journal)  

  • Center for Nanotechnology, Research Institute Activity Report 2014 Reviewed

    新田 紀子, 李 朝陽, 川原村 敏幸, 八田 章光, 古田 寛, 呉 準席, 古田 守, 王 大鵬, 前田 敏彦, 小廣 和哉, 大谷 政孝, 河野 日出夫, 百田 佐多生

    高知工科大学, 高知工科大学紀要   12 ( 1 )   139 - 153   2015.07( ISSN:2189-1265

     More details

    Publishing type:Article, review, commentary, editorial, etc. (scientific journal)  

    調査・活動報告

    CiNii Article

  • Institute for Nanotechnology Activity Report 2013 Reviewed

    新田 紀子, 李 朝陽, 川原村 敏幸, 八田 章光, 古田 寛, 呉 準席, 古田 守, 王 大鵬, 百田 佐多生

    高知工科大学, 高知工科大学紀要   11 ( 1 )   241 - 256   2014.07( ISSN:1348-4842

     More details

    Publishing type:Article, review, commentary, editorial, etc. (scientific journal)  

    調査・活動報告

    CiNii Article

▼display all

Presentations

  • マイクロホローアレー電極を用いた大気圧窒素放電 Domestic conference

    呉 準席、今中 海舟、矢内 啓資、白藤 立

    第71回応用物理学会春季学術講演会  2024.03  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:東京  

  • SiC MOS-FETによる高dV/dtパルス電圧を用いた大気圧面発射型プラズマの発光強度の時空間分 布 Domestic conference

    白藤 立、黒田 幸司、松本 侑、川西 元輝、数森 祥悟、呉 準席

    第71回応用物理学会春季学術講演会  2024.03  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:東京  

  • 大気圧酸化窒素ラジカル源への水蒸気添加効果 Domestic conference

    杉江 恭輔、山本 航大、呉 準席、田中 宏昌、堀 勝、伊藤 昌文

    第71回応用物理学会春季学術講演会  2024.03  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:東京  

  • Numerical Study on the Influence of applied Voltage Polarity on the Lauching Characteristics of Surface- Launched Plasma Bullets International conference

    ISPlasma2024/IC-PLANTS2024/APSPT-13  2024.03 

     More details

    Presentation type:Oral presentation (general)  

  • Influence of Frequency on the Electrical and Optical Characteristics of Atmospheric Pressure Helium Microplasma Array International conference

    Kaishu Imanaka, Naoki Azuma, Keishi Yanai, Tatsuru Shirafuji, Jun-Seok Oh

    ISPlasma2024/IC-PLANTS2024/APSPT-13   2024.03  ISPlasma2024/IC-PLANTS2024/APSPT-13

     More details

    Presentation type:Oral presentation (general)  

    Venue:Nagoya, Japan  

  • Effective Range of Reactive Oxygen and Nitrogen Species Generated by Atmospheric-Pressure Helium Microplasma Jet Domestic conference

    Yuta Matsumoto, Yamato Torii, Hirofumi Kurita, Tatsuru Shirafuji, Jun-Seok Oh

    41st Symposium on Plasma Processing  2024.01 

     More details

    Presentation type:Oral presentation (general)  

  • Cold Atmospheric Pressure Helium Microplasma Jet Stimulated Regeneration of Critical Large Bone Defects International conference

    Akiyoshi Shimatani, Hiromitsu Toyoda, Kumi Orita, Hiroaki Nakamura, Tatsuru Shirafuji, Jun-Seok Oh

    9th International Conference on Microelectronics and Plasma Technology  2024.01  9th International Conference on Microelectronics and Plasma Technology

     More details

    Presentation type:Oral presentation (general)  

    Venue:Jeju, Korea  

  • 低温大気圧プラズマを用いたバイオポリマー表面の親水化処理 Domestic conference

    今中海舟,松本雄太,松山嗣史,辻幸一,白藤立,呉準席

    2023.12  大阪公立大学

     More details

    Presentation type:Poster presentation  

    Venue:大阪公立大学  

  • Atmospheric pressure helium microplasmas using micro hollow array electrode for polymer surface modification International conference

    Kaishu Imanaka, Yuta Matsumoto, Tsugufumi Matsuyama, Kouichi Tsuji, Tatsuru Shirafuji, Jun-Seok Oh

    The 44th International Symposium on Dry Process  2023.11  The 44th International Symposium on Dry Process

     More details

    Presentation type:Poster presentation  

    Venue:Nagoya  

  • 大気圧マイクロプラズマの電気的光学的特性 Domestic conference

    今中海舟,東尚希,矢内啓資,白藤立,呉準席

    第33回日本MRS年次大会  2023.11  日本MRS

     More details

    Presentation type:Oral presentation (general)  

    Venue:横浜  

  • evelopment of Bone and Tendon Regenerative Device using Non-Thermal Atmospheric Pressure Domestic conference

    2023.10 

     More details

    Presentation type:Poster presentation  

  • 面発射型プラズマ弾丸による PLA表面親水化のパルスオフ時間依存 性 Domestic conference

    川西 元輝、松本 侑、呉 準席、白藤 立

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:熊本  

  • 気液界面プラズマを用いたフコイダンの低分子量化効率の濃度依存 性 Domestic conference

    加藤 晴輝、山本 紗哉加、新歩 和明、吉田 佳祐、白藤 立、呉 準席、高岡 素子

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:熊本  

  • 低温大気圧プラズマ技術を用いた骨・靭帯再生医療 への応用 Invited Domestic conference

    豊田 宏光、呉 準席、嶋谷 彰芳、伴 祥高、斉藤 公亮、中澤 克優、折田 久美、白 藤 立、中村 博亮

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Oral presentation (invited, special)  

    Venue:熊本  

  • プラズマ照射したマウス表皮の SEMによる観察 Domestic conference

    呉 準席、福原 秀雄、川田 千明、津田 雅之、八田 章光、井上 啓史、白藤 立

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Poster presentation  

    Venue:熊本  

  • 大気圧プラズマジェットを用いたプラズマ活性水における水温依存性 Domestic conference

    東 尚希、呉 準席、白藤 立

    84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Poster presentation  

    Venue:熊本  

  • 高密度大気圧プラズマ源によって生成されたイオン種と中性活性種の 照射距離依存性 Domestic conference

    杉江 恭輔、呉 準席、田中 宏昌、堀 勝、伊藤 昌文

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:熊本  

  • プラズマジェットの電荷量とメチレンブルー水溶液の分解量との相関 Domestic conference

    大槻凌介,鳥居岳大,白藤立, 呉準席

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Poster presentation  

    Venue:熊本  

  • フレキシブルチューブを用いたプラズマジェットの放電特性 Domestic conference

    數原 瑠威, 大槻 凌介, 松本 雄大, 白藤 立, 呉 準

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Poster presentation  

    Venue:熊本  

  • 大気圧プラズマジェット由来短寿命活性酸素種の照射距離依存性 Domestic conference

    鳥居 岳大,大槻 凌介, 松本 雄太, 栗田弘史, 白藤 立, 呉 準席

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Poster presentation  

    Venue:熊本  

  • ESR 法を用いたプラズマ由来の短寿命活性酸素種の影響範囲の特定 Domestic conference

    松本 雄太, 鳥居 岳大, 栗田 弘史, 白藤 立, 呉 準席

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Poster presentation  

    Venue:熊本  

  • マイクロホローアレー電極を用いたポリスチレンの表面処理 Domestic conference

    今中海舟,白藤立,呉準席

    第84回応用物理学会秋季学術講演会  2023.09  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:熊本  

  • ポリスチレン表面処理のための櫛形電極を用いた大気圧ヘリウムプラズマの電気的及び光学的特性 International conference

    今中海舟,真鍋義人,松山嗣史,辻幸一,白藤立,呉準席

    第40回国際フォトポリマーコンファレンス  2023.06 

     More details

    Presentation type:Oral presentation (general)  

    Venue:千葉  

  • Analysis of RONS in PAW using UV-vis absorption spectroscopy: Curve-fitting with non-linear least square method via internet International conference

    Jun-Seok Oh, Shunya Hashimoto, Tatsuru Shirafuji, Masafumi Ito, Akimitsu Hatta

    4th International Workshop on Plasma Agriculture  2023.06  4th International Workshop on Plasma Agriculture

     More details

    Presentation type:Poster presentation  

  • Estimation of effective area of short-lived reactive oxygen species generated by an atmospheric-pressure helium microplasma jet International conference

    Yuta Matsumoto, Shunya Hashimoto, Ryosuke Itsuki, Yamato Torii, Tatsuru Shirafuji, Jun-Seok Oh

    25th International Symposium on Plasma Chemistry  2023.05  25th International Symposium on Plasma Chemistry

     More details

    Presentation type:Poster presentation  

    Venue:Kyoto  

  • Experimental investigation of correlation between net charges in plasma jet and decomposition of methylene blue solution International conference

    Ryosuke Otsuki, Yamato Torii, Tatsuru Shirafuji, Jun-Seok Oh

    25th International Symposium on Plasma Chemistry  2023.05  25th International Symposium on Plasma Chemistry

     More details

    Presentation type:Poster presentation  

    Venue:Kyoto  

  • プラズマジェット由来の短寿命活性酸素の評価 Domestic conference

    鳥居岳大,松本雄太,大槻凌介,白藤立, 呉準席

    第70回応用物理学会春季学術講演会  2023.03  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:東京  

  • UV-Vis-NIR Spectrophotometry for Methylene Blue Decomposition with Plasma International conference

    Yamato Torii; Yuta Matsumoto; Ryosuke Otsuki; Shyunya Hashimoto; Tatsuru Shirafuji; Jun-Seok Oh

    15th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 16th International Conference on Plasma-Nano Technology & Science  2023.03  The Japan Society of Applied Physics

     More details

    Presentation type:Poster presentation  

    Venue:Gifu  

  • On the Possibility of a Plasma-Liquid Interface Process Using a DBD-Embedded GasLiquid Micro-Contactor International conference

    Tatsuru Shirafuji; Jun-Seok Oh

    15th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 16th International Conference on Plasma-Nano Technology & Science  2023.03  The Japan Society of Applied Physics

     More details

    Presentation type:Poster presentation  

    Venue:Gifu  

  • Preparation of Low-Molecular-Weight Fucoidan Using Multi-Nozzle Linear DBD in Contact with Liquid International conference

    Sayaka Yamamoto; Haruki Kato; Jun-Seok Oh; Tatsuru Shirafuji; Shiori Maezawa; Motoko Takaoka

    15th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 16th International Conference on Plasma-Nano Technology & Science  2023.03  The Japan Society of Applied Physics

     More details

    Presentation type:Poster presentation  

    Venue:Gifu  

  • In vivo study on the healing of bone defect treated with a non-thermal atmospheric pressure helium plasma jet Invited Domestic conference

    Hiromitsu TOYODA; Akiyoshi SHIMATANI; Yoshitaka BAN; Kosuke SAITO; Katsumasa NAKAZAWA; Hana UEDA; Tatsuru SHIRAFUJI; Hiroaki NAKAMURA; Jun-seok OH

    MRS-J 2022  2022.12  MRS-J

     More details

    Presentation type:Oral presentation (invited, special)  

    Venue:Yokohama  

  • Influence of Voltage-Rise Rate on Surface- Launched Plasma Bullets Domestic conference

    Tatsuru SHIRAFUJI; Koki SASAKI; Atsumu MATSUMOTO; Jun-seok OH(

    MRS-J 2022  2022.12  MRS-J

     More details

    Presentation type:Oral presentation (general)  

    Venue:Yokohama  

  • Quantitative Analysis of OH radicals Generated by Non-thermal Atmospheric Pressure He Microplasma Jet Domestic conference

    Ryosuke OTSUKI; Yuta MATSUMOTO; Yamato TORII; Shunya HASHIMOTO: Hirofumi KURITA; Tatsuru SHIRAFUJI; Jun-seok OH1,2

    MRS-J 2022  2022.12  MRS-J

     More details

    Presentation type:Oral presentation (general)  

    Venue:Yokohama  

  • Formation of Graphene Nanoribbon-like Structure in Carbon Nanoparticles Fabricated by Ar+CH4 Plasma Chemical Vapor Deposition Domestic conference

    Shinjiro ONO; Sung hwa HWANG; Takamasa OKUMURA; Kunihiro KAMATAKI1), Naoto YAMASHITA1), Naho ITAGAKI; Kazunori KOGA; Masaharu SHIRATANI; Jun-seok OH; Susumu TAKABAYASHI; Tatsuyuki NAKATANI

    MRS-J 2022  2022.12  MRS-J

     More details

    Presentation type:Poster presentation  

    Venue:Yokohama  

  • Influence of the Initial Space Charges on the Dynamics of Surface-Launched Plasma Bullets International conference

    T. Shirafuji; K. Sasaki; A. Matsumoto; Jun-Seok Oh

    The 43rd International Symposium on Dry Process (DPS2022)   2022.11  The Japan Societry of Applied Physics

     More details

    Presentation type:Poster presentation  

    Venue:Osaka  

  • Development of New Bone Regenerative Device using Non-Thermal Atmospheric Pressure Plasma Domestic conference

    Hiromitsu Toyoda; Jun-Seok Oh

    BioJapan  2022.10 

     More details

    Presentation type:Poster presentation  

  • Effective Area of Relatively Short-lived Reactive Oxygen Species Generated by Atmospheric-pressure Helium Microplasma Jet International conference

    Jun-Seok Oh; Yuta Matsumoto; Shunya Hashimoto; Tatsuru Shirafuji

    75th Annual Gaseous Electronics Conference  2022.10  American Physical Society

     More details

    Presentation type:Oral presentation (general)  

    Venue:Sendai  

  • Influence of Skin Temperature Increase During Helium Plasma Jet Irradiation International coauthorship International conference

    Shunya Hashimoto; Yuta Matsumoto; Tatsuru Shirafuji; Hideo Fukuhara; Jun-Seok OhEndre J Szili; Masayuki Tsuda; Keiji Inoue; Chiaki Kawada;

    75th Annual Gaseous Electronics Conference  2022.10  American Physical Society

     More details

    Presentation type:Oral presentation (general)  

    Venue:Semdai  

  • Atmospheric Pressure Plasma with Micro Interdigitated Electrode for Polymer Surface Modification International conference

    Yoshito Manabe; Kaishu Imanaka; Tatsuru Shirafuji; Jun-Seok Oh

    75th Annual Gaseous Electronics Conference  2022.10  American Physical Society

     More details

    Presentation type:Oral presentation (general)  

    Venue:Sendai  

  • Polymerization of EDOT on H2O by DBD treatment International conference

    Tomohiro Okamoto; Tatsuru Shirafuji; Jun-Seok Oh

    75th Annual Gaseous Electronics Conference  2022.10  American Physical Society

     More details

    Presentation type:Oral presentation (general)  

    Venue:Sendai  

  • Experiments and numerical simulation on the plasma bullets launched vertically from a dielectric surface International conference

    Tatsuru Shirafuji; Jun-Seok Oh

    75th Annual Gaseous Electronics Conference  2022.10  American Physical Society

     More details

    Presentation type:Oral presentation (general)  

    Venue:Sendai  

  • Influence of Voltage Pulse Off-Time on the Discharge Characteristics in Surface-Launched Plasma Bullets International conference

    Koki Sasaki; Atsumu Matsumoto; Jun-Seok Oh; Tatsuru Shirafuji

    75th Annual Gaseous Electronics Conference  2022.10  American Physical Society

     More details

    Presentation type:Oral presentation (general)  

    Venue:Sendai  

  • 低温大気圧プラズマの照射によるマウス皮膚表面への熱的影響 Domestic conference

    橋本 駿哉; 松本 雄太; 白藤 立; 呉 準席; 福原 秀雄; 川田 千明; 津田 雅之; 井上 啓史

    第83回応用物理学会秋季学術講演会  2022.09  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:仙台市  

  • メチレンブル水溶液を用いたプラズマ由来の単寿命活性酸素の評価 Domestic conference

    呉 準席; 松本 雄太; 橋本 駿哉; 白藤 立

    第83回応用物理学会秋季学術講演会  2022.09  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:仙台市  

  • 面発射型プラズマ弾丸伝播特性の電圧波形依存性 Domestic conference

    白藤 立; 呉 準席

    第83回応用物理学会秋季学術講演会  2022.09  応用物理学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:仙台市  

  • メチレンブルーを用いた短寿命活性種の影響範囲の評価 Domestic conference

    呉準席,白藤立

    第3回低温プラズマ科学研究センター(cLPS)公開シンポジウム  2022.08  名古屋大学低温プラズマ科学研究センター

     More details

    Presentation type:Oral presentation (general)  

    Venue:名古屋大学低温プラズマ科学研究センター(オンライン)  

  • 低温大気圧プラズマを用いた骨再生医療 Domestic conference

    呉準席; 豊田宏光; 嶋谷彰芳; 折田久美; 白藤立; 中村博亮

    第33回日本レーザー医学会西日本大会  2022.07  日本レーザー医学会

     More details

    Presentation type:Oral presentation (general)  

    Venue:大阪市  

  • Growth Enhancement of Fibroblast Cells Using Quantitively Controlled Nitric-oxide Radicals International conference

    Masafumi Ito; Yasumasa Mori; Taiga Nishida; Rikako Kumagai; Naoyuki Iwata; Tomiyasu Murata; Jun-Seok Oh; Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022.07  International Society for Plasma Medicine

     More details

    Presentation type:Oral presentation (general)  

    Venue:Utrecht, The Netherlands  

  • のぞいてみよう! プラズマが生み出した プラズマ医療 Domestic conference

    呉準席

    文化交流センター講座  2022.06  大阪市立大学文化交流センター

     More details

    Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:大阪市  

  • Rapid Preparation of Low-Molecular-Weight Fucoidan Using a Plasma-Liquid Interface Process International conference

    Sayaka Yamamoto; Shiori Maezawa; Tatsuru Shirafuji; Motoko Takaoka; Jun-Seok Oh

    MRS spring meeting 2022  2022.05  Materials Research Society

     More details

    Presentation type:Oral presentation (general)  

    Venue:Online  

  • Structural Control of Hydrogenated Amorphous Carbon Films by Substrate Position and Gas Pressure in Plasma Chemical Vapor Deposition International conference

    Shinjiro Ono; Sunghwa Hwang; Daichi Yoshikawa; Takamasa Okumura; Kunihiro Kamataki; Naoto Yamashita; Naho Itagaki; Kazunori Koga; Masaharu Shiratani; Jun-Seok Oh; Susumu Takabayashi; Tatsuyuki Nakatani

    MRS spring meeting 2022  2022.05  Materials Research Society

     More details

    Presentation type:Oral presentation (general)  

    Venue:Honolulu  

  • Stress Relaxation of Hydrogenated Amorphous Carbon Films by Incorporating Carbon Nanoparticles Using Plasma Chemical Vapor Deposition International conference

    Kazunori Koga; Sunghwa Hwang; Shinjiro Ono; Daichi Yoshikawa; Takamasa Okumura; Naoto Yamashita; Kunihiro Kamataki; Naho Itagaki; Masaharu Shiratani; Jun-Seok Oh; Susumu Takabayashi; Tatsuyuki Nakatani

    MRS spring meeting 2022  2022.05  Materials Research Society

     More details

    Presentation type:Oral presentation (general)  

    Venue:Honolulu  

  • Numerical Simulation of Surface-Launched Plasma Bullets International conference

    Tatsuru Shirafuji; Jun-Seok Oh

    14th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 15th International Conference on Plasma-Nano Technology & Science  2022.03  The Japan Society of Applied Physics

     More details

    Presentation type:Oral presentation (general)  

    Venue:Online  

  • Visualization of plasma affected area on a 3D printed mouse model International conference

    Shunya Hashimoto, Yuta Matsumoto, Jun-Seok Oh, Tatsuru Shirafuji, Kenji Ishikawa, Masaru Hori

    14th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 15th International Conference on Plasma-Nano Technology & Science  2022.03  The Japan Society of Applied Physics

     More details

    Presentation type:Oral presentation (general)  

    Venue:Online  

  • Visualization of plasma treatment on 3D printed bone defect model International conference

    Kodai Aoki, Shunya Hashimoto, Akiyoshi Shimatani, Hiromitsu Toyoda, Hiroaki Nakamura, Tatsuru Shirafuji, Jun-Seok Oh

    8th International Conference on Plasma Medicine  2021.08 

     More details

    Presentation type:Oral presentation (general)  

  • Effect of non-thermal plasma irradiation on the biological targets; Model tissue, culture dermis, and live mouse Invited International conference

    Jun-Seok Oh, Endre Szili, Hideo Fukuhara, Rob Short, Akimitsu Hatta, Masafumi Ito, Keiji Inoue

    8th International Conference on Plasma Medicine  2021.08 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Spatiotemporal behavior of surface temperature on the mouse skin during plasma irradiation International conference

    Shunya Hashimoto, Kodai Aoki, Tatsuru Shirafuji, Hideo Fukuhara, Chiaki Kawada, Keiji Inoue, Masayuki Tsuda, Endre J. Szili, Jun-Seok Oh

    8th International Conference on Plasma Medicine  2021.08 

     More details

    Presentation type:Oral presentation (general)  

  • Investigating the interactions of plasma with DNA: Towards safer plasma jet treatments International conference

    Nishtha Gaur, Endre Szili, Hirofumi Kurita, Saki Miyachika, Jun-Seok Oh, Masafumi Ito, Akira Mizuno, Bhagirath Ghimire, Sarah Allinson, Allison Cowin, Robert Short

    8th International Conference on Plasma Medicine  2021.08 

     More details

    Presentation type:Oral presentation (general)  

  • Contactless plasma jet treatment of a cancer tumour Invited International conference

    Endre Szili, Jun-Seok Oh, Keiji Inoue, Rob short, Hideo Fukuhara

    8th International Conference on Plasma Medicine  2021.08 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Report on the 1st International Meeting on Plasma Cosmetic Science (IMPCS1) Invited International conference

    Jean-Michel Pouvesle, Vittorio Colombo, David Graves, Catherine Grillon, Ihn Han, Jun-Seok Oh, Endre Szili, Thomas von Woedtke, Eric Robert

    8th International Conference on Plasma Medicine  2021.08 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Importance of organic compounds on bactericidal activity of radical-activated phenylalanine solution International conference

    Naoyuki Iwata, Hiroshi Hashizume, Jun-Seok Oh, Masaru Hori, Masafumi Ito

    8th International Conference on Plasma Medicine  2021.08 

     More details

    Presentation type:Poster presentation  

  • Spatial distribution of He in a porous scaffold irradiated with He APPJ International conference

    Tatsuru Shirafuji, Jun-Seok Oh, Ryo Sugama, Kumi Orita, Hiromitsu Toyoda

    11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Science and Technology  2019.12 

     More details

    Presentation type:Poster presentation  

  • Contribution of reactive nitrogen species to sterilization of radical-activated water International conference

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Masaru Hori, Masafumi Ito

    11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology  2019.12 

     More details

    Presentation type:Oral presentation (general)  

  • Humid air discharge characterization using atmospheric-pressure plasma reactor International conference

    Yusuke Sasaki, Kenji Maeda, Tatsuru Shirafuji, Jun-Seok Oh

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology  2019.12 

     More details

    Presentation type:Poster presentation  

  • Developing p ressure gradient sputtering system PGS International conference

    Ken Yonezawa , Hiroki Oota, Jun Seok Oh, Kazunori Koga, Tatsuyuki Nakatani, Masafumi Ito, Masaharu Shiratani

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology  2019.12 

     More details

    Presentation type:Poster presentation  

  • H2O2 Synthesis Using 3D Integrated Micro Solution Plasma and Improvement of Its Efficiency by Combining with Ion Exchange Resin Invited International conference

    Tatsuru Shirafuji, Shoma Miyamoto, Jun-Seok Oh

    Materials Research Meeting 2019  2019.12 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Gas-Liquid Interfacial Plasma Polymerization of EDOT International conference

    Shunta Hirano, Ryoga Konishi, Shiori Azuma, Jun-Seok Oh, Tatsuru Shirafuji

    Materials Research Meeting 2019  2019.12 

     More details

    Presentation type:Poster presentation  

  • Renovation Water Permeability of a Continuous Porous Dielectric Using Propagation of Plasma Bullets International conference

    Yuki Hamamoto, Ryo Matoba, Jun-Seok Oh , Kumi Orita, Hiromitsu Toyoda, Tatsuru Shirafuji

    Materials Research Meeting 2019  2019.12 

     More details

    Presentation type:Poster presentation  

  • Atmospheric-pressure Plasma Reactor Generated Plasma-activated Water Invited International conference

    Jun-Seok Oh, Yusuke Sasaki, Soshi Imai, Tatsuru Shirafuji

    Materials Research Meeting 2019  2019.12 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Plasma-activated Water Generated by Atmospheric-pressure Plasma Reactor Invited Domestic conference

    Jun-Seok Oh, Yusuke Sasaki, Soshi Imai, Tatsuru Shirafuji

    2019.12 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Growth Promotion Effect of Oxygen-Radical-Treated Amino Acids Solutions Domestic conference

    N. Iwata, G. Ito, V. Gamaleeve, H. Hashizume, J.-S. Oh, T. Ohta, K. Ishikawa, M. Hori, M. Ito

    29th Annual Meeting of MRS-J  2019.11 

     More details

    Presentation type:Oral presentation (general)  

  • Considerations in the development of plasma sources for cosmetic science International conference

    Jun-Seok Oh, Endre J. Szili

    1st International Meeting on Plasma Cosmetic Science   2019.11 

     More details

    Presentation type:Poster presentation  

  • Longer-lived Chemistry and Antimicrobial Activity of Plasma Activated Water Invited International conference

    Jun-Seok Oh, Naoyuki Iwata, Giichiro Uchida, Kosuke Takenaka, Yuichi Setsuhara, Mineo Hiramatsu, Masafumi Ito

    Visual-JW2019&WSE2019: The 5th International Symposium on Visualization in Joining & Welding Science through Advanced Measurements and Simulation & The 8th International Conference of Welding Science and Engineering  2019.11 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Discharge Characteristics of Ar Atmospheric-pressure Plasma International conference

    Soshi Imai, Ikumi Takahashi, Yusuke Sasaki, Tatsuru Shirafuji, Jun-Seok Oh

    41st International Symposium on Dry Process  2019.11 

     More details

    Presentation type:Poster presentation  

  • 酸素ラジカル照射トリプトファン含有リン酸緩衝液溶液中の大腸菌殺菌効果 Domestic conference

    岩田 直幸、ガマリェエフ ウラディスラフ、橋爪 博司、呉 準席、太田 貴之、石川 健治、堀 勝、伊藤 昌文

    第80回応用物理学会秋季学術講演会  2019.09 

     More details

    Presentation type:Oral presentation (general)  

  • ガラスプレート越しのプラズマ弾丸の伝搬 Domestic conference

    白藤 立、呉 準席、的場 諒、濱本 悠希、洲鎌 亮、折田 久美、豊田 宏光

    第80回応用物理学会秋季学術講演会  2019.09 

     More details

    Presentation type:Oral presentation (general)  

  • Development of atmospheric-pressure plasma reactor: Discharge characteristics Domestic conference

    Soshi Imai, Yusuke Sasaki, Tatsuru Shirafuji, JunSeok Oh

    第80回応用物理学会秋季学術講演会  2019.09 

     More details

    Presentation type:Poster presentation  

  • International Study of the Longer-lived Chemistry of Plasma Activated Water Invited International conference

    Jun-Seok OH, Endre J. SZILI, Robert D. SHORT, Akimitsu HATTA, Mineo HIRAMATSU, Masafumi ITO

    12th Asian-European International Conference on Plasma Surface Engineering  2019.09 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Effective Treatment of Water by Low-Current Arc in Ambient Air International conference

    Vladislav GAMALEEV, Naoyuki IWATA, Jun-Seok OH, Mineo HIRAMATSU, Masafumi ITO

    12th Asian-European International Conference on Plasma Surface Engineering  2019.09 

     More details

    Presentation type:Oral presentation (general)  

  • Lifetime of Bactericidal Efficacy in Oxygen-Radical-Activated Phenylalanine Solution International conference

    Naoyuki IWATA, Vladislav GAMALEEV, Hiroshi HASHIZIME, Jun-Seok OH, Takayuki OHTA, Kenji ISHIKAWA, Masaru HORI, Masafumi ITO

    12th Asian-European International Conference on Plasma Surface Engineering  2019.09 

     More details

    Presentation type:Oral presentation (general)  

  • Plasma-Activated Water Generated by Air Discharge in Atmosphere-Pressure Plasma Reactor International conference

    Yusuke SASAKI, Kenji MAEDA, Tatsuru SHIRAFUJI, Jun-Seok OH

    12th Asian-European International Conference on Plasma Surface Engineering  2019.09 

     More details

    Presentation type:Poster presentation  

  • Discharge Characteristics of Atmospheric-pressure Plasma Reactor International conference

    Soshi IMAI, Ikumi TAKAHASHI, Yusuke SASAKI, Tatsuru SHIRAFUJI, Jun-Seok OH

    12th Asian-European International Conference on Plasma Surface Engineering  2019.09 

     More details

    Presentation type:Poster presentation  

  • On the Preparation of Plasma Bullets Passing through a Dielectric Plate International conference

    Ryo MATOBA, Yuki HAMAMOTO, Masato OSHIRO, Jun-Seok OH, Ryo SUGAMA, Kumi ORITA, Hiromitsu TOYODA, Tatsuru SHIRAFUJI

    12th Asian-European International Conference on Plasma Surface Engineering  2019.09 

     More details

    Presentation type:Poster presentation  

  • Polymerization of EDOT Using Gas-liquid Interfacial Plasma International conference

    Ryoga KONISHI, Shunta HIRANO, Shiori AZUMA, Jun-Seok OH, Tatsuru SHIRAFUJI

    12th Asian-European International Conference on Plasma Surface Engineering  2019.09 

     More details

    Presentation type:Poster presentation  

  • Effects of Dielectric Coating of an Internal Electrode for Coaxial-type Plasma/Liquid Interfacial Processes International conference

    Hiroki NAKATANI, Jun-Seok OH, Tatsuru SHIRAFUJI

    12th Asian-European International Conference on Plasma Surface Engineering  2019.09 

     More details

    Presentation type:Poster presentation  

  • On the Formation of Polymerized EDOT Films Using Gas-liquid Interfacial Plasma International conference

    Tatsuru SHIRAFUJI, Shiori AZUMA, Jun-Seok OH

    34th International Conference on Phenomena in Ionized Gases / 10th International Conference on Reactive Plasmas  2019.07 

     More details

    Presentation type:Poster presentation  

  • Analysis of Concentrations of Species Generated by Rotating Arc Jet in Gas Phase and Treated Liquid International conference

    Vladislav GAMALEEV, Naoyuki IWATA, Jun-Seok OH, Mineo HIRAMATSU, Masafumi ITO

    34th International Conference on Phenomena in Ionized Gases / 10th International Conference on Reactive Plasmas  2019.07 

     More details

    Presentation type:Poster presentation  

  • Influence of DC Substrate Bias Voltage on Deposition of Carbon Nanoparticles Produced by Ar+CH4 Multi-Hollow Discharge Plasma CVD method International conference

    Sung-Hwa HWANG, Kunihiro KAMATAKI, Naho ITAGAKI, Kazunori KOGA, Masaharu SHIRATANI, Jun-Seok OH, Tatsuyuki NAKATANI

    34th International Conference on Phenomena in Ionized Gases / 10th International Conference on Reactive Plasmas  2019.07 

     More details

    Presentation type:Oral presentation (general)  

  • Generation of Bactericidal Efficacy in Neutral pH Range Using Oxygen-Radical Treatment of Organic Compound International conference

    Naoyuki IWATA, Vladislav GAMALEEV, Hiroshi HASHIZIME, Jun-Seok OH, Takayuki OHTA, Kenji ISHIKAWA, Masaru HORI, Masafumi ITO

    34th International Conference on Phenomena in Ionized Gases / 10th International Conference on Reactive Plasmas  2019.07 

     More details

    Presentation type:Oral presentation (general)  

  • Dynamic Analysis of Reactive Oxygen Nitrogen Species in Plasma-Activated Liquid by UV Absorption Spectroscopy Invited International conference

    Jun-Seok OH, Endre J. SZILI, Masafumi ITO, Akimitsu HATTA

    34th International Conference on Phenomena in Ionized Gases / 10th International Conference on Reactive Plasmas  2019.07 

     More details

    Presentation type:Oral presentation (invited, special)  

  • DC-Pulse Driven Plasma Jet Modulating Reactive Oxygen and Nitrogen Species Invited International conference

    Jun-Seok OH, Endre J. SZILI, Akimitsu HATTA, Masafumi ITO and Tatsuru SHIRAFUJI

    International Forum on Functional Materials (IFFM) 2019  2019.06 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Non-Thermal Atmospheric Pressure Plasma Generated Reactive Species Delivery into Biological Systems Invited International conference

    Jun-Seok OH, Endre J. SZILI, Hideo FUKUHARA, Keiji INOUE, Robert D. SHORT, Akimitsu HATTA, Masafumi ITO, Tatsuru SHIRAFUJI

    6th Awaji International Workshop on “Electron Spin Science & Technology: Biological and Materials Science Oriented Applications  2019.06 

     More details

    Presentation type:Oral presentation (invited, special)  

  • Pressure Gradient Sputtering Technology (PGS: High Vacuum Sputtering) International conference

    Ken YONEZAWA, Hiroki OOTA, Jun-Seok OH, Kazunori KOGA, Tatsuyuki NAKATANI, Masafumi ITO, Masaharu SHIRATANI

    The 15th International Symposium on Sputtering and Plasma Processes   2019.06 

     More details

    Presentation type:Poster presentation  

  • Novel Bactericidal Method for Aquaculture Using Benzoic-Compound Solutions Treated with Oxygen Radicals International conference

    Naoyuki IWATA, Vladislav GAMALEEV, Jun-Seok OH, Hiroshi HASHIZIME, Takayuki OHTA, Kenji ISHIKAWA, Masaru HORI, Masafumi ITO

    24th International Symposium on Plasma Chemistry  2019.06 

     More details

    Presentation type:Oral presentation (general)  

  • How the Cell Membrane Composition Influences Plasma-Induced Cellular Effects International conference

    Jonas Van der PAAL, Sung-Ha HONG, Maksudbek YUSUPOV, Nishta GAUR, Jun-Seok OH, Annemie BOGAERTS, Endre SZILI

    24th International Symposium on Plasma Chemistry  2019.06 

     More details

    Presentation type:Oral presentation (general)  

  • Bactericidal Activity in oxygen-radical-activated water International conference

    Naoyuki IWATA, Vladislav GAMALEEV, Jun-Seok OH, Kenji ISHIKAWA, Masaru HORI, Masafumi ITO

    24th International Symposium on Plasma Chemistry  2019.06 

     More details

    Presentation type:Poster presentation  

  • 3D Numerical Simulation of APPJ on the Flowing Water Surface International conference

    Tatsuru SHIRAFUJI, Jun-Seok OH, Masafumi ITO

    10th International Workshop on Microplasmas  2019.05 

     More details

    Presentation type:Poster presentation  

  • Microplasma Jet Contributes to Investigation of RONS Chemistry of Plasma-Activated Water International conference

    Jun-Seok OH, Tatsuru SHIRAFUJ

    10th anniversary of International Workshop on Microplasmas  2019.05 

     More details

    Presentation type:Oral presentation (general)  

  • The Influence of Pulse Voltage Polarity on Au-nanoparticle-embedded Film Formation on Aqueous Solution in Contact with DBD International conference

    Shunta HIRANO, Shiori AZUMA, Yusuke NAKAMURA, Toshiyuki ISSHIKI, Jun-Seok. OH, Tatsuru SHIRAFUJI

    11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma-Nano Technology & Science  2019.03 

     More details

    Presentation type:Poster presentation  

  • International Study of Plasma Activated Water: Chemical Composition of RONS International conference

    Jun-Seok OH, Endre SZILI, Rrobert SHORT, Akimitsu HATTA, Mineo HIRAMATSU, Masafumi ITO

    11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma-Nano Technology and Science  2019.03 

     More details

    Presentation type:Oral presentation (general)  

  • Simultaneous Achievement of Bactericidal Efficacy and Plant-growth in Neutral pH Range using Radical-Activated Benzoic Compounds International conference

    Naoyuki IWATA, Vladislav GAMALEEV, Jun-Seok OH, Hiroshi HASHIZIME, Takayuki OHTA, Kenji ISHIKAWA, Masaru HORI, Masafumi ITO

    11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma-Nano Technology and Science  2019.03 

     More details

    Presentation type:Oral presentation (general)  

  • The APPJ Treatment for Improving Water Permeability of a Bone-regeneration Scaffold with Grounded Electrode International conference

    Yuki HAMAMOTO, Masato OSHIRO, Jun-Seok OH, Kumi ORITA, Hiromitsu TOYODA, Tatsuru SHIRAFUJI

    11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma-Nano Technology & Science  2019.03 

     More details

    Presentation type:Poster presentation  

  • The Performance of Three-dimensionally Integrated Micro Solution Plasma Assisted with Argon Micro-bubble International conference

    Reiya NAKAGAWA, Hiroto MASUNAGA, Jun-Seok OH, Tatsuru SHIRAFUJI

    11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma-Nano Technology & Science  2019.03 

     More details

    Presentation type:Poster presentation  

  • 酸素ラジカル処理フェニルアラニンを用いた殺菌における基底状態酸素原子の効果 Domestic conference

    岩田 直幸, ガマリーヴ ブラディスラーブ, 呉 準席, 橋爪 博司, 太田 貴之, 石川 健治, 堀 勝, 伊藤 昌文

    第36 回 プラズマプロセシング研究会/ 第31 回 プラズマ材料科学シンポジウム  2019.01 

     More details

    Presentation type:Oral presentation (general)  

  • プラズマ活性水中における化学種生成の電圧依存性 Domestic conference

    小川 広太郎, 呉 準席, 古田 寛, 八田 章光

    第36 回 プラズマプロセシング研究会/ 第31 回 プラズマ材料科学シンポジウム  2019.01 

     More details

    Presentation type:Poster presentation  

  • Ar/O2/N2/H2O 混合ガスのDBD 中のO 原子とOH ラジカルの生成 におけるAr(3P)と Ar2*の寄与 Domestic conference

    白藤 立, 呉 準席, 伊藤 昌文

    第36 回 プラズマプロセシング研究会/ 第31 回 プラズマ材料科学シンポジウム  2019.01 

     More details

    Presentation type:Poster presentation  

  • Analysis of Radical-Treated Amino Acid Using UV Absorption Spectroscopy for Plasma-Medical Application Domestic conference

    Noyuki IWATA, Hiroshi HASHIZUME, Jun-Seok OH, Masaru HORI, Masafumi ITO

    40th International Symposium on Dry Process  2018.11 

     More details

    Presentation type:Poster presentation  

  • Measurement of Water Cluster Ions in Plasma Plume of an Atmospheric Pressure He Plasma Jet International conference

    Jun-Seok OH, Yoshihiro NAKAI, Toshiyuki KAWASAKI, Tatsuru SHIRAFUJI, Masaru HORI, Mineo HIRAMATSU, Masafumi ITO

    40th International Symposium on Dry Process  2018.11 

     More details

    Presentation type:Poster presentation  

  • 低温大気圧プラズマによって生成された活性酸素窒素種の体内移送 Domestic conference

    呉 準席, 福原 秀雄, 川田 千明, 津田 雅之, スズリ・アンドレ , ショート・ロバート , 伊藤 昌文, 八田 章光, 井上 啓史

    日本レーザー医学会誌  2018.09  (NPO)日本レーザー医学会

     More details

    Presentation type:Oral presentation (general)  

  • メラノーマ細胞に対するプラズマ照射培養メディウムのアポトーシス誘導活性 Domestic conference

    村田 富保, 小泉 貴義, 徳井 麗佳, 金田 典雄, 呉 準席, 堀 勝, 伊藤 昌文

    日本薬学会年会要旨集  2017.03  (公社)日本薬学会

     More details

    Presentation type:Oral presentation (general)  

▼display all

Industrial Property Rights

  • 腱再生促進装置

    豊田宏光,呉準席,中澤克優,伴祥高,斉藤公亮,折田久美,白藤立

     More details

    property_type:Patent 

    Application no:2022-157960 

  • 腫瘍組織への免疫細胞誘導装置

    福原秀雄,井上啓史,呉準席,八田章光

     More details

    property_type:Patent 

    Application no:2020-214417 

  • 骨再生促進方法および装置

    豊田宏光,白藤立,嶋谷彰芳,呉準席,折田久美,

     More details

    property_type:Patent 

    Application no:2020-139761 

    Announcement no:2022-035431 

  • 液面上プラズマを用いたEDOT液体からの直接重合によるフリースタンディング膜の形成

    白藤立,呉準席

     More details

    property_type:Patent 

  • 酸素ラジカル活性化水溶液とその製造方法および農作物の生産方法

    伊藤 昌文, 呉 準席, 岩田 直幸, 堀 勝, 橋爪 博司

     More details

    property_type:Patent 

    Application no:2018-16054 

    Announcement no:2020-033294 

    Patent/Registration no:7100849 

  • カーボンナノ粒子の製造方法

    古閑一憲, 白谷正治, 黄成和, 米澤健, 太田裕己, 高橋希世美, 中谷達行, 呉準席, 伊藤昌文

     More details

    property_type:Patent 

    Application no:2018-158138 

    Announcement no:2020-033577 

  • スパッタ装置用カソードユニット

    米澤健,高橋希世美,太田裕己,呉準席,伊藤昌文,古閑一憲,白谷正治,中谷達行

     More details

    property_type:Patent 

    Application no:2018-027964 

    Announcement no:2019-143193 

    Patent/Registration no:7020646 

  • プラズマ発生装置およびプラズマ発生方法

    株式会社オーク製作所,呉準席,伊藤昌文

     More details

    property_type:Patent 

    Application no:2018-2288 

    Announcement no:2019-121564 

    Patent/Registration no:7014612 

  • プラズマ処理装置及びプラズマ処理方法

    呉 準席, 伊藤 昌文, 早川 壮則, 芹澤 和泉

     More details

    property_type:Patent 

    Application no:2017-56383 

    Announcement no:2018-160353 

    Publication no:2018-160353 

    Patent/Registration no:6974678 

  • プラズマ発生装置及びプラズマ発生方法

    呉 準席, 伊藤 昌文, 早川 壮則, 芹澤 和泉

     More details

    property_type:Patent 

    Application no:2017-56382 

    Announcement no:2018-160352 

    Publication no:2018-160352 

    Patent/Registration no:6974677 

  • 生育性に優れた培養容器およびその製造方法

    福原秀雄, 呉 準席, 八田 章光, 井上 啓二

     More details

    property_type:Patent 

    Application no:2016-257871 

    Announcement no:2018-093871 

  • 処理装置、及び処理方法

    白藤 立,呉 準席

     More details

    property_type:Patent 

    Application no:特願2023-026460 

▼display all

Grant-in-Aid for Scientific Research

  • 膀胱癌に対する低温大気圧プラズマ直接照射法による免疫応答メカニズムの解明

    Grant-in-Aid for Scientific Research(C)  2022.04

  • Clinical application of non thermal atmospheric pressure plasma in bone regeneration

    Grant-in-Aid for Scientific Research(C)  2019.04

  • Synthesis of functional composite thin films using a reaction field at a plasma/liquid interface

    Grant-in-Aid for Scientific Research(B)  2019.04

  • Investigation of in situ VUV absorption spectroscopy for quantitative analysis of plasma activated water

    Grant-in-Aid for Scientific Research(C)  2017.04

  • In-situ analysis of rare metal ions under deep sea by optical emission spectroscopy of discharge plasma operated in high-pressure water

    Grant-in-Aid for Challenging Research (Pioneering)/(Exploratory)  2014.04

Charge of on-campus class subject

  • Graduation Research

    2018     Undergraduate

  • Digital Electronic Circuits

    2018     Undergraduate

  • Plasma Medicine

    2018     Graduate school

Charge of off-campus class subject

  • Advanced Topics in Chemistry and Life Science 2

    2023.05
    Institution:Toyohashi University of Technology

     More details

    Level:Postgraduate 

  • 化学・生命大学院特別講義Ⅱ

    2022.07
    Institution:Toyohashi University of Technology

     More details

    Level:Postgraduate 

Faculty development activities

  • 高等学校における学習指導要領改定に伴う情報科教育の今  2023

     More details

    高等学校における学習指導要領改定に伴う情報科教育の今

  • 新課程(高等学校学習指導要領改訂)に関する講演会  2022

     More details

    現在の高校1年生より新学習指導要領に則り新しいカリキュラムで高校教育が実施されている。このような生徒が受験するのが2年後に実施される2025年度入試であり、共通テストでも「情報」が導入される。情報以外にも理科や数学にも変更があり、2025年度入学者に関しては大学においても、これらを配慮した教育を実施する必要があるため、今回の新学習指導要領においてどのような変革があるかについて学んだ。
    今回のFD活動を通じて入試だけでなく今後の大学における教育課程の進め方や学生の指導等の参考にした。

  • 入学試験及び入学時アンケートの情報を共有  2022

     More details

    教室会議において、入学試験及び入学時アンケートの情報を共有する.

  • feedback studioとwebクリッカー教育をよりインタラクティブにする2つのツールの活用法  2022

Number of papers published by graduate students

  • 2023

    Number of undergraduate student / college student presentations:Number of graduate students presentations:3

  • 2022

    Number of undergraduate student / college student presentations:Number of graduate students presentations:2

Number of instructed thesis, researches

  • 2023

    Number of instructed the graduation thesis:

    [Number of instructed the Master's Program] (previous term):[Number of instructed the Master's Program] (letter term):1

    [Number of master's thesis reviews] (chief):[Number of master's thesis reviews] (vice-chief):3

    [Number of doctoral thesis reviews] (chief):[Number of doctoral thesis reviews] (vice-chief):0

  • 2022

    Number of instructed the graduation thesis:

    [Number of instructed the Master's Program] (previous term):[Number of instructed the Master's Program] (letter term):0

    [Number of master's thesis reviews] (chief):

    [Number of doctoral thesis reviews] (chief):[Number of doctoral thesis reviews] (vice-chief):1

  • 2021

    Number of instructed the graduation thesis:

    [Number of instructed the Master's Program] (previous term):[Number of instructed the Master's Program] (letter term):0

    [Number of master's thesis reviews] (chief):[Number of master's thesis reviews] (vice-chief):8

    [Number of doctoral thesis reviews] (chief):[Number of doctoral thesis reviews] (vice-chief):1

  • 2020

    Number of instructed the graduation thesis:

    [Number of instructed the Master's Program] (previous term):[Number of instructed the Master's Program] (letter term):0

    [Number of master's thesis reviews] (chief):[Number of master's thesis reviews] (vice-chief):7

    [Number of doctoral thesis reviews] (chief):[Number of doctoral thesis reviews] (vice-chief):0

  • 2019

    Number of instructed the graduation thesis:

    [Number of instructed the Master's Program] (previous term):[Number of instructed the Master's Program] (letter term):0

    [Number of master's thesis reviews] (chief):[Number of master's thesis reviews] (vice-chief):4

    [Number of doctoral thesis reviews] (chief):[Number of doctoral thesis reviews] (vice-chief):0

  • 2018

    Number of instructed the graduation thesis:

    [Number of instructed the Master's Program] (previous term):[Number of instructed the Master's Program] (letter term):0

    [Number of master's thesis reviews] (chief):[Number of master's thesis reviews] (vice-chief):7

    [Number of doctoral thesis reviews] (chief):[Number of doctoral thesis reviews] (vice-chief):0

▼display all

Social Activities

  • 文化交流センター公開講座

    Role(s): Lecturer

    大阪公立大学文化交流センター  大阪市北区梅田1-2-2-600 大阪駅前第2ビル6階 大阪公立大学文化交流センター  2022.06

     More details

    Audience: General public

    Type:Lecture

    Number of participants:27(人)

    のぞいてみよう!プラズマが生み出したプラズマ医療

International exchange activities

  • Plasma Cosmetic Consortiumのメンバーとして国際会議(International Meeting on Plasma Cosmetic Science)を発足させた.

    Field category :Research

    Country name :France   2019.05